Download - Parametric Yield Driven Resource Binding in Behavioral ...

Transcript
Page 1: Parametric Yield Driven Resource Binding in Behavioral ...

Yibo Chen1, Yuan Xie1, Yu Wang2, Andres Takach3

1The Pennsylvania State University2Tsinghua University

3Mentor Graphics [email protected]

Parametric Yield Driven Resource Binding in Behavioral Synthesis Using Multi-Vth/Vdd Library

Page 2: Parametric Yield Driven Resource Binding in Behavioral ...

Outline

Introduction and MotivationMulti-Vth/Vdd Library Characterization under PVYield-Driven Resource Binding with Multi-Vth/VddExperiment Results and Conclusion

2

Page 3: Parametric Yield Driven Resource Binding in Behavioral ...

Motivation Process variation (PV) has become a prominent concern as technology scalesDevice and interconnect process variations increase with shrinking feature sizes

(Source: Intel)(Source: K. Roy DAC05)

2interVT ,σ

2intraVT ,σ

Intra-die

Inter-die 0.9

1.0

1.1

1.2

1.3

1.4

0 5 10 15 20

Normalized Leakage (Isb)

Nor

mal

ized

Fre

quen

cy

0.18 micron~1000 samples20X

30%

Require a shift in the design paradigm, from today’s deterministic to probabilistic design

3

Page 4: Parametric Yield Driven Resource Binding in Behavioral ...

Probabilistic Design Paradigm

GATE

CIRCUIT

VoutVin

MODULE+

DEVICE

n+

S Dn+

G

Process variation modeling

Statistical timing analysisStatistical gate level optimizationStatistical technology mapping

Variation-aware architecture

Variation-aware behavioral synthesis

A holistic design paradigm shift to statistical design

ARCHITECTURE

4

Page 5: Parametric Yield Driven Resource Binding in Behavioral ...

Behavioral SynthesisESL (Electronic System Level) design methodologies

Move design hierarchy to a higher level Close the gap of productivity and design complexity

Behavioral synthesis (a.k.a. high level synthesis) Enables an ESL-to-RTL implementation flow

Gain a lot of emphasis recently (e.g. workshop @ DAC: HLS-back to the future)

Industry success stories:Mentor Graphics: Catapult-CCadence: C-to-silicon Compiler Forte Design: CynthesizerBlueSpecAutoESL

Variation-aware behavioral synthesis is still in its infancy

System level modelC/System C

Behavioral synthesis

Tech. Lib.

DesignConstraints

RTL

5

Page 6: Parametric Yield Driven Resource Binding in Behavioral ...

Variations’ Impact on Behavioral Synthesis

++

+

+

CC1

CC2

CC3

CC4

Behavioral synthesis schedules operations at difference clock cycle and maps them to function units (FU)Traditionally, each FU has a fixed latency value

However, under process variation…CDFG

+0.00

0.02

0.04

0.06

0.08

0.10

0.12

MA

NC

HES

TER

STA

TIC

MA

NC

HE

STE

RD

YN

AM

IC

CA

RR

Y S

ELE

CT

STA

TIC

CA

RRY

SE

LEC

TP

ASS

GA

TE

CA

RR

Y S

ELE

CT

DY

NA

MIC

KO

GG

E S

TON

ER

AD

IX 2

STA

TIC

KO

GG

E S

TON

ER

AD

IX 4

STA

TIC

KO

GG

E S

TON

ER

2 P

AS

SGA

TE

KO

GG

E S

TON

ER2

DY

NA

MIC

HA

N C

AR

LSO

N

BR

ENT

KU

NG

Nor

mal

ized

Del

ay V

aria

bilit

y (S

igm

a/M

ean)

6

Page 7: Parametric Yield Driven Resource Binding in Behavioral ...

Parametric yield

The probability that the synthesis hardware meeting a specified constraint

∫=CLKT

dttpdfYield0

)(

7

Page 8: Parametric Yield Driven Resource Binding in Behavioral ...

Motivation Example

++

+

+

CC1

CC2

CC3

CC4

+Nominal case analysis: any

Worst case analysis: R1

Variation-aware analysis: R2

∫=T

0dttpYield )(

8

Page 9: Parametric Yield Driven Resource Binding in Behavioral ...

Design Space Exploration For Power

Resource lib: various kinds of multipliers (booth, array, etc) adders (tree, carry-lookahead, etc.) multipurpose units (ALUs, multiplier/divider, etc.)

Arch I

Arch II

Arch III

Delay

Power

different architectures

Delay Constraint

different Vth/Vdd9

Page 10: Parametric Yield Driven Resource Binding in Behavioral ...

Outline

Introduction and MotivationMulti-Vth/Vdd Library CharacterizationYield-Driven Resource Binding with Multi-Vth/VddExperiment ResultsConclusions

10

Page 11: Parametric Yield Driven Resource Binding in Behavioral ...

Multi-Vth/Vdd Selection

Multi-Vth/Vdd in conjunctionEffective reduction in both dynamic and static power

Combination of dual-Vth and dual-Vdd4 “Corners” of dual-Vth and dual-Vdd

multi-Vth/Vdd applied at function unit level

11

Page 12: Parametric Yield Driven Resource Binding in Behavioral ...

PV-aware Library Characterization

Based on 45nm technologyMulti-Vdd/Vth SettingsVariations on Device Parameters

Gate Length, Metal Line WidthGate Oxide Thickness

Statistical timing characterizationSynopsys PrimeTime VX

Statistical power characterizationHspice Monte Carlo Analysis

System level modelC/System C

Behavioral synthesis

Tech. Lib.

Desig Constraints

RTL

12

Page 13: Parametric Yield Driven Resource Binding in Behavioral ...

Timing Characterization Results

2 Adder Designs Delay : Kogge16 Delay : Bkung16

Case Power Mean(μ)

Deviation(σ)

Mean(μ)

Deviation(σ)

Nominal Medium 3.26417 0.07934 3.46797 0.17013

High-Vth Low 3.66011 0.09215 3.89041 0.19547

Low-Vdd Low 4.22523 0.11554 4.49699 0.24630

High-Vth/low-Vdd leads to larger variations

Different design implementations have different variations

13

Page 14: Parametric Yield Driven Resource Binding in Behavioral ...

Power Characterization Results

Statistical power characterization of FUsLibrary cells’ leakage power characterized by Hspice Monte Carlo analysisLeakage power distribution of FUs computed by summing library cells’ leakage power distributions

Name of FU Leakage Power

μ (nW) σ (nW)

Bkung 3626.612 85.739

Kogge 5007.009 94.330

Pmult 4982.431 91.697

Booth 15523.711 205.399

Mux21 383.314 24.089

14

Page 15: Parametric Yield Driven Resource Binding in Behavioral ...

Outline

Introduction and MotivationMulti-Vth/Vdd Library CharacterizationYield-Driven Resource Binding with Multi-Vth/Vdd LibraryExperiment ResultsConclusions

15

Page 16: Parametric Yield Driven Resource Binding in Behavioral ...

PV-Aware Resource Sharing/Binding

This work focuses on Resource Binding/Sharing

Scheduling already taken place

Control/Data-path structure available

Sharing and binding of the type of functional units is up to optimization

16

Page 17: Parametric Yield Driven Resource Binding in Behavioral ...

Parametric Yield Analysis for DFGs

Need two atomic functions sum(A,B) and max(A,B):

Sum(A,B) is easy to performIf both A, B are Gaussian, sum(A,B)

is Gaussian:μ = μA + μB

σ = (σA2 + σB

2 - 2ρσAσB )½

where ρ is the correlation coefficient between A and B

Max(A,B) is quite complex to compute

Tightness probability techniques are used to facilitate the computation of the max function

17

Page 18: Parametric Yield Driven Resource Binding in Behavioral ...

Voltage-Level Conversion for Yield Level Conversion needed with low-Vdd units driving high-Vdd unitsAsynchronous conversion allows passing slacks and helps improve timing yield

+ Low Vdd Units + High Vdd Units

18

Page 19: Parametric Yield Driven Resource Binding in Behavioral ...

Outline

Introduction and MotivationMulti-Vth/Vdd Library CharacterizationYield-Driven Resource Binding with Multi-Vth/VddExperiment Results and Conclusion

19

Page 20: Parametric Yield Driven Resource Binding in Behavioral ...

Variation Aware Resource Sharing/BindingGiven

Scheduled CDFGDesign constrains

Clock period / Timing yield constraintTechnology library with variation

Perform sharing/binding:Determine how operations are assigned to and shared between resourcesMinimize power without violating timing yield

20

Page 21: Parametric Yield Driven Resource Binding in Behavioral ...

Power yield improvementwith joint multi-Vth/Vdd

average power reduction of 21% with 95% timing yield constraint

95% yield

LC-Asynchronous

Multi-Vth Only

21

Page 22: Parametric Yield Driven Resource Binding in Behavioral ...

Conclusion

As technology scales, process variation has increasing impact on performance and power variationsMulti-Vth/Vdd techniques are used for design space explorationWe propose a statistical behavioral synthesis framework for multi-Vdd/Vth designSignificant power reduction can be achieved with the proposed variation-aware framework

22