PhD Thesis Violetta Gianneta

download PhD Thesis Violetta Gianneta

of 190

Transcript of PhD Thesis Violetta Gianneta

  • - l2O3 Si

    .

    2009

  • This thesis is part of the 03ED375 research project, implemented within the framework of the Reinforcement Programme of Human Research Manpower (PENED) and co-financed by National and Community Funds (20% from the Greek Ministry of Development-General Secretariat of Research and Technology and 80% from E.U.-European Social Fund). 03ED375 () (20% - 80% ).

  • : :

    :

  • o o PENED 2003 80%

    20%

    ,

    ,

    .

    .

    , .

    .

    ,

    . :

    . , ,

    .

    . ,

    ,

    .

    , , ,

    .

    . . , , , , .

    ,

    , .

  • , . Huffman,

    . .

    .

    , ,

    .

    , ,

    ,

    ,

    .

    . . .

    ,

    .

  • (

    ) . ,

    () Si.

    ,

    () ,

    . ,

    , pH, ,

    .

    ,

    .

    ,

    .

    ,

    Si,

    Si, .

    , .

    ,

    .

    Cr, Ti, Si, Au, Si,

    .

    , SiO2 Si. ,

    . , SiO2

  • Si

    .

    :

    ,

    .

    ,

    Si, .

    Ti Cr Si Si.

    Cr,

    Si Si

    . Si,

    , , N, ...

    , SiO2 .

    ,

    .

    Si SiO2. ,

    SiO2

    Si.

    ,

    .

  • Abstract In the present thesis, the growth of porous anodic alumina films on Si substrate

    was studied extensively. Potential applications of porous anodic alumina films formed

    directly on Si, regarding the use of porous membranes as mask or template for various

    nanostructures growth directly on Si, are discussed.

    Chapter one deals with the theory and mechanisms governing porous anodic

    alumina film growth, either on porous anodic films formed by anodization of

    aluminum foils, or on porous anodic films developed on Si substrates. Additionally,

    the effect of different factors (pH, temperature, applied voltage) on the final structural

    characteristics is presented.

    In chapter two, the preliminary processing steps regarding sample preparation

    before the anodization procedure are quoted. Moreover, details about the experimental

    set-up and the electrochemical conditions used during the sample anodization in the

    current work are given.

    In chapter three, the influence of three different factors, in the final structural

    characteristics, is investigated. Primarily, the impact of the initial aluminum thickness

    deposited on Si substrate, and secondly the confinement of the aluminum film in areas

    of a few m2, in the pore size and pore density are studied. Finally, the influence of

    the third factor is associated with a three-step instead of a two-step anodization, in

    combination with an in-between step of aluminum chemical etching, on the ordering

    and the uniformity of the pores.

    The deposition of Ti and Cr nanodots arrays on Si, using the porous alumina

    membrane as a masking layer, is investigated in chapter four. Furthermore, the Ti

    nanodots are used for the electrodeposition of Au nanodots and nanowires inside the

    porous alumina films. Additionally, the Cr dots are used as metallic nanostructured

    mask for the Si etching by reactive ion etching process, that leads to the formation of

    Si nanopillars on Si substrate.

    In chapter five the growth of hexagonally ordered SiO2 dots on Si through

    porous anodic alumina membranes, in various acidic electrolytes, is studied.

    Moreover, the electrical characterization of the interface of porous alumina film/Si

    and porous alumina film with SiO2 dots in pore bottoms/ Si is presented. Finally, in

    the present thesis the technology of fabrication of Si nanocrystals embedded in SiO2 dots arrays through porous alumina membranes on Si substrate is developed for the

  • first time. This was achieved by the combination of ion beam synthesis with the

    already existing technology of porous anodic alumina growth on Si substrates. The

    nanocrystals are electrically isolated from the substrate. This technique is promising

    as an application in non-volatile memory devices.

    The main achievements accomplished through this study are summarized as

    follows:

    The optimization of pores ordering by developing the porous alumina membrane in two or three processing steps in combination with the chemical

    etching of Al film, lying above the porous membrane, following each

    anodization cycle.

    The increase of pores density by the confinement of porous alumina film in areas of a few m2 on Si.

    The development of Ti and Cr nanodots arrays, directly on Si, through porous alumina membranes. The use of Cr nanodots as nanostructured masking layer

    for the formation of Si nanopillars, formed by etching of Si substrate with

    RIE, on Si.

    The density of interface stages results from the electrical characterization of porous alumina with or without SiO2 dots at each pore bottom, with the Si

    substrate. The results are encouraging, keeping in mind that the pore

    membranes and SiO2 dots were electrochemically grown directly on Si

    substrate.

    The development of distinct Si nanocrystals, embedded in SiO2 dots, combining for the first time two different technologies, that is the fabrication

    of porous anodic alumina films directly on Si substrate, as well as the ion

    beam synthesis technique. The proposed technique is promising for the

    fabrication of non-volatile memory devices.

  • . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

    . . . . . . . . . . 7

    .1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    .1.1 . . . . . . . . . . . . 9

    .1.2 . . . . . . . . . . . . . . . . . . . . . . . . . . 12 .1.2 . . . . . . . . . 12

    .1.2

    Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 .2 . . . . . . . . . . 19

    .3 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

    .4 . . . . . . . . . . . . . . . . . . . . . . . . . . . 25 .5 . . . . . . . . . 27 .5.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 .6 , . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31 .6.1 pH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 .7 . . . . . . . . . . . . . . . . . . . . . . . . . 33 .7.1 10% . . . . 34 .7.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

  • .8 . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 .8.1 Faraday . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

    .9 . . . . . . . . . . . . . . . . . . . . . . 42 1.9.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

    .10 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

    .10.1. / Si . . . . . . . . . . . . . . . . . . 49

    .11 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53

    - - . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 .1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

    .2 . . . . . . . . . . . . . . . . . . 59

    .3 . . . . . . . . . . . . . . . . . . . . . 62

    .4 . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

    II.5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 .1 . . . . . 66

  • .2 Al . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

    .3 . . . . . . . . . . . . 84 .4 . . . . . . . . . . . . . . . . . . . 89 .5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94

    V

    . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97

    IV.1. Ti Cr Si . . . . . . . . . . . . 99

    V.1.1 Ti Cr Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103

    V.1.2 . . . . . . . . . . . . . . . . . . . . .107

    V.1.3 IV.I . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110

    IV.2. Ti Au . . . . . . . . . . . . . . . . . . . 111 IV.3. Cr Si Si Si . . . . . . . . . . . . . . . . . . . . . 116 IV.4. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

    V

    SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 V.1 Si2 Si

    . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

  • V.1.1

    (AFM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129

    V.1.2 SiO2 . . . . . . . . . . . . . . . . . . 135

    V.1.3 SiO2 Si . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

    V.2

    SiO2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143 V.2.1 MIS SiO2 . . 144 V.2.2 C-V, G-V. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 V.2.2.a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148

    V.2.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 148 V.2.2 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150

    V.2.2 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

    V.2.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

    V.2.2

    (Conductance Method) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .154

    V.3 Si SiO2 . . . 157 V.3.1. SiO2 . . . . . . . . . . . . . . . . 159 V.3.2. Si . . . . . . . . . . . . . . . . . . . . . . 161 V.3.2 (AFM) . . . . . . . 161

    V.3.2

    (TEM). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162

    V.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

    . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

    . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

  • ,

    . ,

    Si .

    , ,

    .

    20 ,

    MOSFETs ,

    , ,

    ... .

    , .

    Rayleigh,

    (resolution enhancing, RET). 30nm

    . ,

    ,

    ,

    .

    .

    ,

    .

    ( ). ,

    , ,

    ,

    ,

    . 5

    200nm, 109 - 1011 /cm2.

    1

  • ,

    ,

    , -

    - . ,

    , ,

    ,

    .

    .

    , , SiO2,

    SiO2 Si.

    .

    ,

    ,

    ,

    ,

    . ,

    .

    ,

    . ,

    .

    ,

    .

    ,

    Si.

    .

    ,

    , SiO2 .

    2

  • . ,

    ,

    . , ,

    ,

    . ,

    pH ,

    .

    ,

    ,

    .

    ,

    , Cr Ti .

    55 850nm,

    25 40nm.

    Cr Ti Si,

    20 40nm, 15nm.

    Ti

    ,

    . Cr

    Si

    ,

    Si . ,

    (

    ),

    , ~90nm ~30 40nm.

    SiO2

    , Si .

    ,

    3

  • .

    ,

    . SiO2

    Si

    .

    .

    5x1011 /cm2 .

    SiO2 .

    ,

    ,

    , .

    ,

    ,

    .

    , SiO2,

    Si .

    SiO2,

    ,

    .

    ,

    Coulomb (Coulomb blockade effect),

    . ,

    Si, Si

    SiO2

    .

    SiO2

    4

  • Si. ,

    Si.

    ,

    .

    , .

    ,

    :

    ,

    .

    , p ,

    .

    Si,

    .

    ,

    .

    SiO2 Si, .

    SiO2 Si

    ,

    . ,

    SiO2

    ,

    Si.

    5

  • ,

    .

    ,

    ,

    ,

    . ,

    ,

    .

    ,

    , Si.

    ,

    (, Si,

    ...), ,

    .

    (.. ),

    ,

    , ,

    .

    .

    7

  • Si. ,

    Si,

    .

    8

  • .1

    .1.1

    , ,

    .

    ,

    ( )

    (, , ).

    1900

    , [1].

    ,

    , ,

    ,

    .

    ,

    ,

    .

    ,

    [2-4].

    [5-8],

    , / [9-12].

    [13-17], [18],

    [19, 20], [21-23] [24-25].

    [26-27],

    9

  • ,

    10nm .

    , ,

    . ,

    ,

    .

    ,

    . ,

    , ,

    ,

    .

    (.. , ,

    ..), ,

    ,

    [1].

    ,

    (, , , , ..),

    ,

    [28]. .1.

    . ()

    , ()

    , Al

    (barrier layer) .

    Barrier layer

    ) ) .1: ) ) .

    10

  • (~1,4 nm/V)

    [1]. ,

    ( .2).

    ) ) .2: ) ) .

    .

    500 700V.

    ,

    ( .2).

    , ,

    . -

    ~0.7 1m -

    100m .

    (0-2C)

    , 60C,

    ,

    (electropolishing) [1].

    11

  • .

    Al

    .

    .

    .1.2

    (

    Si),

    . (.9)

    ,

    .

    1950

    ,

    1930.

    .1.2

    1953

    (Scanning electron microscopy)

    Structural features of oxide coatings on Aluminum [29].

    , Keller, Hunter Robinson

    ,

    , ,

    .

    12

  • (barrier layer).

    ,

    . ,

    ,

    Al (barrier layer),

    ,

    .

    , ,

    ,

    .

    Keller et al.

    , ,

    .

    ,

    .

    1957 Booker, Wood Walsh [30]

    . ,

    , .

    1959 Hoar Mott [31],

    .

    Murphy Michelson

    1962 [32].

    , , ,

    ,

    .

    IR Dorsey [33],

    13

  • Ginsberg Wefers (1963)

    [34].

    1963 Hoar Yahalom [35]

    .

    ,

    ,

    .

    -

    ,

    Franklin (1957) [36].

    To 1968 Wood, OSullivan Vaszko [37] ,

    ,

    , ,

    (barrier layer) ( .3).

    .3 . .

    1970 O Sullivan Wood [38]

    ,

    , .

    14

  • , 1980, Treverton Davies [39],

    ,

    ,

    , ,

    , .

    1981, Thomson Wood [40]

    ,

    ,

    , , .

    ,

    ,

    ( .4).

    .

    .4:

    , . . - .

    1992 , Ono

    Masuko , TEM EDX [41]

    , ,

    15

  • . ,

    10V.

    Masuda [42-45]

    Fukuda [46]

    . 1990 [47],

    .

    . ,

    ,

    . 1995

    ,

    [46].

    1997, Masuda Ono [48],

    ,

    .

    To 1997 Masuda Asoh [49],

    ,

    .

    ,

    ,

    .

    .

    1997 Thompson [28]

    . ,

    ,

    ,

    , .

    16

  • 1998,

    Muller, Jessensky Gosele [50],

    , .

    ,

    .

    .

    2002 Wehrspohn Gosele [51]

    10%,

    . ,

    , (

    ) pH

    ( )

    10%. .7.1. ,

    Wehrspohn Gosele,

    , .

    2005 J. Choi [52],

    , Masuda et

    al. [49], -

    ,

    ,

    . ,

    .

    , 2007 Menon [53]

    .

    .10.1.

    17

  • ,

    .

    Si (

    ),

    Si.

    , ,

    .

    .1.2

    Si

    ,

    ,

    [54-55].

    1997, Gruzinsky

    ,

    [56].

    , 1999 Grouse Miller

    ,

    [57].

    2003 ,

    , H. Asoh

    S. Ono [58], .F. Mei [59-62], A. G. Nassiopoulou et al [63-65],

    18

  • Si.

    ,

    [66-67], pH [68],

    .

    .

    ,

    ,

    .

    .2

    ,

    Keller, Hunter Robinson 1953 [29].

    , , ,

    .

    , .

    , .

    ,

    ( .5).

    ,

    barrier layer,

    .

    19

  • ) )

    .5: ) ) .

    Booker, Wood Walch 1957 [30].

    ,

    . , pH

    , , ,

    ,

    .

    ,

    .

    .3

    ,

    , ,

    .

    , ,

    . + (

    20

  • ), -

    (

    , , ..,

    ). ,

    , .

    ,

    ,

    - (native oxide) -

    (~15 ).

    oA

    oA

    , /

    :

    (1) + + eAlAl oxides 33 )()(

    , / ()

    :

    + + 2 )()()(2 233

    23

    oxideaql OHOH (2)

    ,

    ,

    ,

    :

    (3) + ++ eOAlOAl 632 3223

    (1-3)

    , .

    ,

    ,

    .

    21

  • [71] , +

    , ,

    . , :

    )(23

    )()()(32 233

    21

    laqaqs OHAlHOAl ++ ++ (4)

    .

    , (4) ,

    , [53]. ,

    ,

    ,

    Al2O3 (H=-1675.7kJ/mol). Sullivan [38]

    Thompson et al [69],

    .

    Al3+ (1)

    (5), .

    23

    )()()( 233 HAlAlH aqSaq ++ ++ (5)

    (1)

    ,

    ,

    :

    )(2)( 2333 gl HeH + + (6)

    , (5)

    .

    (.6).

    22

  • .6: ( ) . (1) ( ). .

    ,

    ( 3), 6 , Menon et al [53]

    mol , 9

    10 .

    (7),

    ,

    .

    22- 2+4e- (7)

    ,

    2- .

    Zhu et al [70],

    . ,

    /,

    . ,

    (7), .

    ,

    , (7)

    2O+3Al

    23

  • , . ,

    , 100 nm

    2, .

    / , ,

    .

    ,

    ,

    .

    (

    , , ).

    Al3+,

    / ( )

    / [50].

    , Al3+

    . ,

    . (2-, -)

    , /,

    .

    . ,

    ,

    (2) , (4) .

    , ,

    .

    + + eAlAl 33

    +3Al2

    )(oxideO

    24

  • :

    )10(

    )9(

    )8(

    )(2

    )(42)(42

    )(2

    )(4)(42

    )(2

    )(4)(4

    +

    +

    +

    ++

    +

    aqaqaq

    aqoxideaq

    aqoxideaq

    HOCOHC

    HHPOPOH

    HSOHSO

    ,

    , .

    ,

    . ,

    ,

    (, , ) 2- - ,

    .

    ,

    [71]. ,

    , X [72].

    .4

    ,

    ( , , ).

    ,

    (Transmission Electron Microscopy: ),

    25

  • , ,

    ,

    ,

    [40] ( .7).

    [41].

    .7: - - - (, ). - - (Al2O3), ( ). - , .

    ,

    (0.05), (0.1), (0.5) ,

    [40]. ,

    ,

    ,

    . ,

    ,

    ,

    .7,

    nm/V [69].

    26

  • , ,

    , , ,

    . ,

    , ,

    [40].

    , ,

    (2007) [72], X (Energy

    Dispersive X-ray Analysis: EDX),

    (High resolution TEM)

    (IR absorption). ,

    .

    ,

    .

    ,

    .

    242OC

    242OC

    242OC

    .5

    ,

    (

    , ),

    (interpore distance Dint=d)

    (Uan), . :

    Dint (nm)= 1.7 2.81 and U= + (V) (11)

    27

  • :

    (interpore distance) ( .8).

    K. Ebihara et al [73].

    [K. Ebihara, H. Takahashi, and M. Nagayama, J. Met. Finish. Soc. Jpn. 34, 548, (1983)]

    .7 : (d: interpore distance) U, , . aUd 8.27.1 +=

    ,

    .

    ,

    ,

    , ,

    [74].

    . Pavlovic et al [75].

    .

    OSullivan

    1970 [38],

    28

  • .

    d Uan (V)

    :

    1.04 and U= (12)

    O d

    Uan 1.04 nmV-1.

    , , 2,77nmV-1.

    , 1,19 nmV-1 Hunter Fowle

    (1954) [138] .

    , 2,2 nmV-1

    Keller (1953) [29].

    OSullivan [38]

    0.71, (

    ) :

    2 0,71p c d= (13) p:

    c:

    d:

    2,77 anc U= 1,04 and U= O Sullivan et al [38] :

    1, 29 anp U= (14) ,

    , .

    , O Sullivan,

    .

    ,

    . , (14) ,

    29

  • .

    ,

    . (14)

    ,

    1.29. Sullivan et al [38] ,

    , (porosity P= 2 214

    p s , s: )

    . ..

    .

    ( 214

    p ) ,

    .

    21,3% .

    [29, 138]

    ,

    ,

    .

    2anU

    2anU

    .5.1

    1998 [50], [74]

    , .

    (lattice constant)

    , .

    , ,

    . ,

    , ,

    . ,

    30

  • ,

    ,

    . ,

    , ,

    . ,

    , ,

    .

    ,

    ,

    [50], [74]. ,

    ,

    [50].

    Masuda Fukuda [46].

    .6 ,

    ,

    . , pH

    ,

    ,

    , . ,

    pH,

    , .

    ,

    , .

    [75]. ,

    ,

    31

  • . ,

    ,

    , . ,

    .

    0.1 0.4 mol/l,

    ~2.7, . c=2.7Uan, ( c

    Uan

    Volts) [76]. , ,

    (

  • ,

    .

    . ,

    .

    , , 0.3,

    2m,

    0.6 5m [77].

    ,

    .

    ,

    / .

    .

    ,

    . ,

    , .

    , . ,

    [77].

    .7

    ,

    . ,

    ,

    , .

    -

    .

    33

  • ,

    ,

    (

    ). , ,

    .

    . ,

    , ,

    ,

    .

    , .

    ,

    , ,

    /.

    ,

    . ,

    ,

    ,

    .

    .7.1

    10%

    Wehrspohn, Gosele et al [51], 2002

    [51].

    .

    , p/Dint

    p Dint (

    ), Dinner/Douter (.

    1.5) Dinner Douter,

    34

  • , (

    ). , p/Dint

    ,

    , :

    2

    int32

    =

    DpP (15)

    10%. ,

    10%,.

    1.2 . , (

    Dint), pH (

    p), 10%

    Dint, .

    ,

    ,

    ,

    40%.

    , ,

    .

    ,

    . , , ,

    ,

    , . ,

    10%. ,

    ( 24h),

    [71].

    .

    10%.

    pH ,

    ,

    . ,

    ,

    35

  • . ,

    ,

    .

    .7.2

    Masuda [46]

    ,

    .

    , . ,

    0.3

    40 V,

    .

    , 0.3 25-27V

    9h 0C. , ,

    0.3 mol/l 195V 16h 0C,

    ~500nm ( ,

    ). Gosele et al [74]

    1 mol/l (10%) 160V

    3C. ~420nm.

    ,

    , 1.4,

    .

    ,

    [66].

    , . ,

    ,

    . ,

    36

  • . ,

    [66]. , [78]

    ,

    ,

    .

    .1 ,

    .

    ,

    .

    0C

    , 9h,

    24h.

    .1

    Dint

    Dinner

    H2SO4 27V, 0.3M

    66,3 nm 24nm 7.2nm 12%

    (COOH)2 40V, 0.3M

    105 nm 31nm 9.1nm 8%

    H3PO4 195V, 0.1M

    501 nm 158,4nm 54nm 9%

    .8

    ,

    ,

    .

    , .8.

    , .

    37

  • [T. P. Hoar and J. Yahalom, Journal of the Electrochemical Society, Vol. 110, No. 6, 614 621 (1963)]

    .8: . (I, II III) .

    (): .

    (native oxide) Al ,

    , .

    /, ,

    .

    (): ,

    .

    (): ,

    , .

    , ( ). ,

    ( ,

    , ).

    .

    / ( .9),

    .

    38

  • .9: . , . 15%w.t DC 15V, t 25s.

    I.9, .

    (native oxide) ,

    , .

    (), :

    ,

    .

    .

    ,

    .

    .

    ,

    ,

    [35]. ,

    ,

    [138].

    ,

    [1].

    .

    39

  • .8.1 Faraday

    ,

    :

    eldisspeliontot iiiiii ++=+= )( (15)

    iion ,

    , iel,

    , ip

    idiss .

    Faraday, iion

    , :

    t

    FzM

    mmS

    iAlW

    Alfi

    gavion

    =)(

    )(1)( (16)

    Sg , mi mf

    , (MW)Al , z

    , F

    Faraday t . , ip

    :

    t

    FzM

    mS

    iOAlW

    p

    gavp =

    32)(

    1)( (17)

    , mp Al2O3.

    (15) - (17),

    :

    32)( OALWM

    avdi )(

    avpavionavd iii )()()( = (18)

    40

  • ,

    .

    ,

    .

    avioni )( avpi )( avdi )(

    ,

    ,

    [67]:

    tot

    pp

    tot

    ionion i

    in

    iin == , (19)

    ,

    ,

    .

    . , ,

    V

    , :

    =d

    BVAitot exp (20)

    , d

    .

    ,

    ,

    .

    , , .

    ,

    .

    41

  • [67] . (19).

    .9

    ,

    , 50

    . , Masuda et al [42-46],

    , ,

    . ,

    Thompson Wood [40].

    , ,

    ,

    .

    ,

    . ,

    , ,

    ,

    [79].

    ( .10),

    .

    .

    ,

    Al3+ ,

    ,

    .

    42

  • Al Al

    () () ()

    Al

    .10:

    . [28].

    , ,

    . , ,

    , .

    ,

    ,

    .

    , Al O,

    Al3+, ,

    .

    , ,

    ,

    .

    ,

    .

    2-/-

    /, Al3+

    / ( .11). ,

    . ,

    /

    ( .11).

    43

  • .

    .11:

    [28].

    ,

    .

    Joule. ,

    ,

    (19x107 kg/m2),

    (21x104 kg/m2).

    (~107 kg/m2),

    ,

    Al O, , ,

    . 300nm/min

    0,1 nm/min

    [28].

    ,

    54%,

    , .

    ,

    44

  • Al3+, .

    ,

    , (

    .11),

    , ,

    .

    ,

    . /

    , ,

    ( .11).

    ,

    . ,

    ( .11c, d),

    [28].

    ,

    . ,

    ,

    . ,

    ,

    /,

    . , /

    /.

    .

    .

    . ,

    ,

    ,

    45

  • / .

    ,

    /,

    2-.

    , ,

    . ,

    , .. ,

    [28].

    1.9.1

    ,

    Xu et al [79],

    8x106 V/cm 2x107

    V/cm. , ,

    /

    . ,

    (.. )

    / .

    , , .

    ,

    , 12-14wt% , 6-8wt%

    2.4wt% [80].

    .12

    ,

    .

    46

  • r c

    .12: . (r), (r), (b) (c).

    , :

    =

    =

    bcrr

    1

    1

    cos

    cos

    r: ,

    r:

    c: ,

    b:

    ,

    .

    ,

    .

    ,

    , .

    ,

    ,

    .

    ,

    ,

    . ,

    r b

    47

  • , ,

    . ,

    ,

    . ,

    ,

    .

    .10

    ,

    , ,

    ... , ,

    . , ,

    , ,

    . ,

    Si. ,

    . ,

    . ,

    , .

    Si

    ( , ),

    .

    , ,

    48

  • ,

    .

    ,

    ,

    .

    ,

    . ,

    .

    .10.1. / Si

    ,

    , Si, p n ,

    ,

    . ,

    , .

    ,

    , .

    , ,

    , .

    .

    ,

    . ,

    ,

    ,

    ( .13). ,

    ,

    [64].

    ,

    49

  • , Chu,

    Wada et al 2002 [82].

    Grouse Miller et al [57],

    .

    .13: / . Si, Si. ( )

    , .

    To 2007, o Lee et al [84],

    FE-SEM,

    X (EDAX). ,

    ,

    , ,

    ( .14). Al

    Si,

    , Si,

    . ,

    . ,

    ,

    . ,

    ,

    .

    ,

    . ,

    50

  • ,

    .

    ) )

    )

    .14: /. () Si. () Si . () .

    , ,

    . ,

    ,

    . ,

    , ,

    ( )

    ( ).

    ,

    ,

    .

    , ,

    51

  • .

    .

    , ,

    . EDS

    .

    ,

    1-5nm , ,

    ~20nm, .

    , .

    ( .15).

    .

    .15: /Si.

    ,

    ,

    , .

    , ,

    ,

    .

    ,

    , ,

    . , ,

    52

  • ,

    , .

    ,

    ,

    /

    .

    Al+3 .

    ,

    ,

    .

    SiO2 ,

    [58-65], [85]

    . , TEM,

    .

    , , SiO2,

    ,

    .

    SiO2,

    .

    , .

    .11

    . ,

    Si,

    53

  • .

    .

    54

  • - -

    Si, ~1-3cm2,

    Si ( 4 25m2).

    .

    ,

    ,

    . ,

    ,

    , . ,

    ,

    .

    ,

    .

    , ,

    .

    55

  • .1.

    Si.

    , .

    Si , p ,

    1 10 .cm.

    Si

    Si.

    , :

    : , : , : . ,

    .

    :

    Al

    Al ()

    56

  • B.

    3.35nm

    Al ()

    SiO2 500nm, , .

    SiO2 .

    Si, 2x2m2 5x5m2,

    SiO2.

    100 250nm .

    Al ()

    ,

    .

    57

  • :

    SiO2

    ,

    (99,99%). , ,

    H2SO4:H2O2 .

    BHF, (native oxide)

    .

    .

    2-3nm ,

    , .

    . ,

    , ,

    Si.

    ,

    RCA,

    .

    , BHF.

    ,

    .

    : ,

    ,

    .

    (99.99%), .

    58

  • ,

    ,

    .

    Denton.

    300-500nm,

    , 20 1300nm.

    .2.

    ,

    . ,

    .

    :

    ,

    .

    , , ,

    (

    PEM ).

    ,

    .

    ( ),

    .

    , ,

    .1, .

    Teflon.

    ,

    59

  • 60

    ,

    , .

    .

    ( .1),

    , ,

    teflon .

    , .

    o-ring,

    ,

    )

    )

    .1: ) , ) .

  • . (Teflon o-ring )

    ,

    .

    :

    . ,

    . ,

    , Peltier.

    ,

    . ,

    .

    , , .

    ,

    , .

    :

    Keithley 230,

    100V. DC

    20 100V,

    100mA.

    :

    Keithley 617,

    (. .8). .3

    .

    , DC

    ,

    . ,

    .

    .

    61

  • Peltier.

    N5770A Agilent

    Technologies, 1500W.

    4 - 6,

    0C.

    , ,

    . Peltier, ,

    .

    .3.

    .

    .3 .

    62

  • :

    () (Scanning electron microscopy),

    ,

    , .

    , .

    SEM FEG SEM Jeol.

    () (Atomic Force Microscopy),

    ,

    ,

    .

    () (Transmission Electron Microscopy

    ).

    .4.

    , MS (metal

    insulator semiconductor)

    CV o.

    .

    ,

    , ( II.4).

    .4

    .

    ,

    300-500nm. ,

    , .

    63

  • ,

    .

    .4: MS (metal insulator semiconductor) .

    II.5

    .

    ,

    , .

    .

    ,

    .

    64

  • II

    Si

    .

    ,

    ,

    [66].

    ,

    .

    ,

    , .

    ,

    .

    ,

    Si.

    ,

    ,

    .

    ,

    ,

    .

    65

  • .1

    ( )

    ( ,

    ).

    [46, 66, 74, 78], .1.

    p ,

    1-10 .cm.

    , 450C 30min.

    , 500nm ,

    . .1

    .

    (Scanning Electron Microscopy).

    .1

    2SO4 6%w.t 20V

    C2H2O4 5%w.t 40V

    H3PO4 10%w.t 100V

    ( (, )

    (. .1)

    () () . ,

    ,

    .

    ( ),

    .10.1.

    66

  • 67

    , SEM,

    .

    20V.

    SEM (. .2),

    500nm, .

    ,

    .

    .

    ~910nm,

    ~ 8,5nm. .2(1)

    , .

    .

    .2(2) .2(3) ,

    . (2)

    (3)

    .

    SEM,

    .

    .1 () , () . () .

    ) )

  • 500nm

    68

    SEM () (..2), ,

    5% w.t., 20 min. ,

    , . .2(1)

    .2(2) , .2(3)

    .

    100%, ~17nm. ,

    9nm,

    .

    3)

    )

    1) 2)

    100nm

    100nm

    .2(): SEM, , Si . .

  • 69

    , 35min ,

    , ,

    .

    .2(). (. .2(1),

    .2(2)) 22 nm,

    ~160%.

    Ebihara et al [73],

    ( ),

    .5:

    d=-1.7+2.8Uan (d nm Uan Volts).

    )

    100nm

    100nm

    100nm

    1) 2)

    3)

    .2(): SEM .2(), 20min, . ~17nm, . .

  • 70

    54,5nm.

    ,

    .7 .,

    ~50nm [73].

    ~47nm.

    .

    .

    , 40V.

    1-2C .

    , SEM (. .3),

    ~850nm.

    , ~22nm ( .3: (1),

    100nm

    .2(): SEM .2() 35 . , .

    10m

    500nm

    1) 2)

    3)

    )

    3)

  • 71

    (2)). 20nm.

    5% w.t

    20min, ,

    ~43%, 31,5nm,

    ( .3()). ,

    93%, 42,5nm

    ( .3()).

    ,

    ~43min. 45 min,

    .3 (1) (2), ,

    .

    ~93.75nm. Ebihara et al

    ( .8) [73], ,

    110nm ( 11 .5).

    ,

    Ebihara et al, ,

    ,

    . 93,75nm,

    ,

    110nm.

    III.4

    500nm

    , , 100V.

    ~1C .

    ,

    ~67 nm.

    860nm ( .4()).

  • 72

    20min

    5%w.t, ~38,5%,

    92.8nm, .

    40min, ~65%,

    110nm.

    240nm.

    500nm

    2)

    100nm

    1)

    100nm 2)

    100nm

    1)

    2)

    3)

    500nm

    )

    100nm

    3)

    2)

    100nm

    100nm

    500nm

    )

    3)

    500nm )

    1)

    )

    .3: () SEM s 500nm , . , ~15 18nm. 22 30nm 2x1010 /cm2. () SEM 20min. , . 35nm. () SEM 40min. ~45nm, . (1), (2) SEM 45min. .

  • 500 nm 500 nm

    0 min 20 min

    40 min

    500 nm 20 min

    500 nm

    ) )

    )

    1)

    2)

    500 nm

    73

    .4: () SEM 500nm . ~68nm. (), () SEM 20min 40min , . ~92nm 110nm . (1) (2), 20min, Si.

    280nm [73]. ,

    (p(nm)=1.29Uan(V)) OSullivan [38],

    129nm.

    , ,

    ~130nm,

    . ,

    OSullivan

    .

    0oC,

  • . , ,

    ,

    .

    ,

    , ,

    .

    ,

    ,

    ,

    . 500nm

    12min. 36min,

    1h.

    1-2oC, ~41.6nm/min , ~13.8nm/min

    ~8.3nm/min .

    166nm/s, 25nm/s 14nm/s .

    Wood [40].

    ,

    ,

    . ,

    ,

    . ,

    Wood [40], (,

    ) 2- OH-

    . ,

    ,

    .

    5% w.t.

    74

  • .

    , 100%

    20min , 35min 160%,

    .

    ~8.5x103 nm3/min.

    ,

    43% 20min, 40min 93%.

    ~17x103 nm3/min.

    38%

    20min , 64%

    40min.

    ~290x103 nm3/min. ,

    .

    , .

    , , ,

    .

    :

    Al2O3 + 2H3PO4 2Al(PO4) + 3H2O (1)

    ,

    . ,

    .

    ,

    (1). ,

    ,

    .

    75

  • ,

    .

    ,

    ,

    ,

    .

    ,

    ,

    , .

    ,

    .

    ,

    ,

    (. . V).

    .2

    ,

    Al

    Si, ,

    (. .1).

    .1,

    .

    ,

    76

  • 77

    . ,

    ,

    .

    200nm, 500nm, 750nm 1000nm,

    ,

    . , ,

    5%w.t. 20

    40min ( 35min),

    , . ,

    (SEM),

    .

    ,

    . .5 SEM,

    ,

    20min.

    . .2,

    ,

    .

    .2

    2SO4 6% w.t. 20V 1-2C

    (nm) : (nm): : 0min 20min 35min 200nm 380

  • 78

    .2,

    SEM .5,

    ,

    100nm 100nm

    ) )

    100nm 100nm

    500 nm

    )

    )

    .5: SEM , , ()200nm, () 500nm () 750nm () 1m.

  • 79

    . ,

    , 250nm,

    11-12%. ,

    .

    . , ,

    .

    Al 500 750nm,

    10-12%, Al 750 1000nm,

    5.6-6%. ,

    ,

    ,

    .

    9h

    .

    Al.

    ,

    ,

    10-12 1-2C. ,

    ,

    . ,

    ,

    , ,

    .10.1 . Al Si,

    ,

    .

    .6 , SEM, ,

    Al

    . ,

    250nm,

    ~7.5%.

    ,

  • 80

    . ,

    .

    ,

    ,

    .

    .3.

    500nm

    500nm

    500nm

    100nm

    )

    500nm

    )

    500nm

    500nm

    ) )

    500nm

    .6: SEM : () 200nm, () 500nm, () 750nm, () 1000nm.

  • 81

    .3

    C2H2O4 5% w.t. 40V 1-2C

    (nm) : (nm): : 0min 20min 40min 200nm 360 20 28.7 39.5

    500nm 850 22 31.5 42.5 750nm 1300 23.6 34 45.7

    1m 1800 25.5 36,5 49

    , .

    , .3,

    ,

    ,

    .

    ,

    . ,

    1h 500nm 2.5h

    1m.

    3% . III.7

    SEM,

    20min.

    500, 750 1000nm.

    ,

    20min.

    ,

    , ,

    , .4.

  • 82

    H3PO4 10% w.t. 100V 1-2C

    (nm)

    .4

    : (nm): 0min 20min 40min 500nm 860 67 92.8 110 750nm 1380 69.8 96 113

    1m 1810 72 99 116

    , .

    500 nm

    500 nm

    500 nm

    500 nm

    )

    )

    500 nm

    )

    500 nm

    500nm, ) 720min,

    .7: SEM : )

    50nm, ) 1000nm. 5%w.t.

  • 83

    ,

    ,

    . .8,

    ,

    .9,

    .

    .9: Al , .

    0 3000 6000 90000

    700

    1400

    H2SO4 C2O2H4 H3PO4

    (nm

    )

    (s)

    .8: .

    200 400 600 800 10000

    700

    1400

    2100

    H2SO4 C2O2H4 H3PO4

    (nm

    )

    (nm)

  • 84

    ,

    ,

    ,

    .

    .

    ,

    ( , ).

    , ,

    , ,

    .

    ,

    ,

    Si.

    .

    .3

    ,

    .

    , ,

    Si.

    SiO2, .

    ,

    . , ,

    ,

    .

  • 85

    SiO2, ,

    .

    SiO2 Si,

    SiO2, 500nm.

    ,

    ,

    Si, SiO2.

    200nm, .

    ,

    , .

    .10

    (1), (2), (1), (2).

    , 2x2 m2, 3x3 m2 5x5 m2.

    , SiO2

    , .

    ,

    5%w.t.

    40V,

    .10: (1), (2) (1), (2) .

    1

    ) 2)

    1) 2)

  • 86

    1C.

    - ,

    .11. ,

    . ,

    ,

    .

    .12, SEM ,

    SiO2

    Si.

    .

    SEM,

    : ,

    .11: , , 200nm, . (, , ), , .

  • 87

    ,

    .

    , ,

    . SEM

    1 m

    2)

    500 nm 500

    300 300 nm

    )

    500 nm 300 nm

    )

    500 nm 300 nm

    150 nm

    .12: SEM () 2x2m2, () 3x3m2 ( SiO2), (1), (2) 5x5m2 ( ) () 5x5m2 ( SiO2)

    150 nm

    500 nm

    )

    1)

    500 nm

  • . ,

    ,

    ,

    .

    .5,

    ,

    ,

    .

    .5

    Al Si,

    40V 1-2C.

    : : * : (m2) (nm) (/cm2) 40-50 1010

    5x5 40 2x1010

    3x3 30 5x1010

    2x2

  • 89

    .

    ~1010 /cm2

    . ,

    1m2,

    , .. (e-beam lithography).

    .

    .4

    ( ) [47]. ,

    10m (10-20m) .

    , .

    , , ,

    ,

    .

    ,

    . ,

    ,

    ,

    .

    ,

    .

    .

    ,

    .

  • 90

    ,

    .

    1.3m.

    ~750 nm. ,

    (

    ) ,

    .

    , . ,

    ,

    , ,

    . ,

    ( ).

    ,

    ,

    . ,

    .

    Al,

    . ,

    ( ).

    .13, ,

    . : (2)

    , (3) , (4)

    Al, (5)

    (6) .

  • 91

    ,

    ,

    .

    , ~750nm ,

    ~ 500nm.

    .14.

    SEM,

    .

    ( ()), ~20nm

    ~400nm. H ~8x1010 /cm2.

    , ( ()),

    ~60nm, ~660nm.

    ~1010 /cm2.

    .13: , . ( 1) Si ( 1). (2) 750nm. (3) ( 4) Al. ( 5) . ( 6) .

  • 92

    ,

    .

    .

    . AFM,

    .13,

    ,

    , . .15()

    .

    ~20nm, ~1m ~1011 /cm2.

    .15()

    . ~50nm,

    ~ 1m.

    ~1.5x1010/cm2.

    100nm

    100nm

    ()

    .14: SEM , . () 6%w.t. 20V. ~20nm 8x1010/cm2. ~400nm. () 5%w.t 40V. , ~60nm, 1010 /cm2 ~660nm.

    ()

    100nm

    1m

  • 93

    . ,

    ,

    .

    . , ,

    .

    , ,

    , .

    100 nm

    100nm

    100nm

    ()

    .15: SEM , . () 6%w.t. 20V. ~20nm. 1011/cm2. ~1m. () 5%w.t 40V. , ~50nm, 1.5x1010 /cm2 ~1m.

    ()

    100nm

    1m

  • 94

    .5 ,

    ,

    , ,

    .

    , ,

    , ( ),

    . ,

    ,

    ,

    .

    , ,

    , .

    ,

    , .

    ,

    1m,

    ,

    .

    ,

    .

    (.

    ).

    25m2,

    .

    4m2,

    . ,

  • 95

    , .

    , .

    , 1x1m2,

    ,

    ,

    .

    .

    , ,

    , .

    ,

    .

    ,

    ,

    (~1.3m) Si.

  • V

    Si

    .

    ,

    ~100nm. ,

    (focused ion beam (FIB) etching).

    , ,

    - .

    .

    Si. , , ,

    . ,

    . ,

    , ,

    .

    Si,

    Si, .

    ,

    ,

    ,

    .

    ,

    97

  • [86].

    (sputtering).

    ,

    Au [87], Ge [88], Au, Al, Zn .. [89], Al [90] Cu [91].

    ,

    .

    ,

    .

    . ,

    , .

    ,

    ,

    . ,

    ,

    .

    ,

    .

    ,

    .

    ,

    , Au [92-

    95], Ni [95-97], Ag, Zn [96],

    [98] (AC ). ,

    I-VI (CdS,

    CdSe, CdTe ZnSe) [99-102]. ,

    , , CoPd [103] CoFe [104] (AC

    ), CoPt [105-106], Fe, Co,

    Ni AC [107], Cu [108].

    , ,

    .

    C2H2,

    98

  • ( ) [109-110].

    , Si

    . ,

    , .

    , Ti, Cr Au Si,

    . ,

    Si

    (top-down ).

    IV.1. Ti Cr Si

    Ti Cr .

    (sputtering), ,

    ,

    .

    [92-110], Si

    .

    . ,

    ,

    ,

    . .

    99

  • [87].

    , (

    ,

    ).

    , ,

    ,

    .

    , Si,

    . ,

    ,

    , . ,

    , ,

    .

    Ti Cr. ,

    Ti Cr . IV.1

    .

    , , (1) (2) ,

    (3), (4) (5).

    (5) (2)

    (1)

    (4)

    (3)

    IV.1: .

    100

  • 200W,

    4x10-6 Torr.

    , .

    ,

    .

    ,

    27, 50, 500nm, p

    1-10cm.

    5%w.t. 40V.

    ,

    20min

    35min,

    . ,

    56, 85, 170 850nm (

    27, 50, 100 500nm ), 30-

    40nm ~1010/cm2. ,

    ,

    SiO2.

    5x5m2. V.2

    .

    , (1) (2) .

    ,

    .

    (3) , ,

    (3).

    Cr Ti (4)

    .

    55C, Si,

    Cr Ti ( 5).

    ,

    IV.2.

    ( 1) IV.2,

    , SiO2

    101

  • 500nm, 5x5 m2 Si.

    ( 2)

    , (

    Al

    ), 170nm,

    ~30nm. 2x1010 /cm2. ,

    ,

    Ti Cr ( 4).

    IV.2: Cr Ti Si. (1) Al Si. ( 2) Al 5%w.t. 40V. ( 3), . 4 Cr Ti. ( 5) , 55oC, Cr Ti.

    Si,

    Cr Ti , 20 100nm (

    ).

    55C

    ( 5). 4 15min,

    .

    102

  • Ti Cr , ,

    .

    V.1.1 Ti Cr Si

    Ti Cr

    ,

    , ,

    . Ti Cr,

    ,

    . Cr Si,

    Si, Ti

    Au .

    . ,

    20nm, .

    ,

    ,

    .

    500nm, . , ,

    ,

    ~100nm,

    .

    ,

    , Ti Cr,

    . ,

    103

  • 27nm, 50nm, 100nm 500nm,

    ~56, 85, 170 850nm .

    25 40nm. Ti Cr

    , 20 50nm ( ).

    Ti Cr . ,

    ,

    ( , ,

    / ), (

    20 50nm Cr Ti).

    ,

    . ,

    ~56nm, 30min,

    ~0.6 ( V.3). AFM IV.3

    Cr, Si,

    56nm / ~0.6, (1), (2)

    () .

    Cr

    . ,

    , ,

    .

    ,

    .

    ,

    ,

    .

    >40min,

    .

    104

  • 1) 2)

    )

    IV.3: AFM (1), (2) 2D () 3D, Cr , 56nm / ~0.6. 5.5nm ~35nm .

    ~85nm

    30min,

    ~0.4 ( IV.4). IV.4 AFM,

    Ti

    85nm / ~0.42.

    () ()

    , .

    .

    105

  • )

    )

    IV.4: AFM 2D () 3D () Ti 9.5nm, ~40nm 85nm ~0.42.

    500nm,

    850nm,

    (

    AFM IV.5).

    0.035 0.045.

    /

    Ti Cr.

    2-3nm.

    106

  • )

    )

    V.5: AFM () 2D () 3D Ti ~2-3nm . / . ~850nm, ~ 38nm.

    , IV.5 () ()

    Ti Ti

    850nm ~38nm.

    ,

    (2-3nm) .

    ,

    .

    107

  • V.1.2

    ,

    Ti Cr, ,

    .

    ,

    (. ). ,

    ,

    . ,

    5x5m2 .

    SiO2, 100nm.

    (

    40V )

    35min,

    . ,

    ,

    ~0.2. IV.6

    .

    () ()

    IV.6: Ti Cr. () Al Si, () . , Ti Cr .

    IV.6() .

    Si, ,

    SiO2. ,

    108

  • IV.6()

    .

    , Ti 40nm,

    .

    55oC, ,

    Si. AFM

    IV.7, ~12nm

    20-40nm.

    .

    )

    )

    IV.7: AFM () 2D () 3D Ti . ~0.2, 5x5 m2 . . ~12.5nm 20 40nm.

    109

  • (

    ),

    12.5nm.

    ,

    .

    V.1.3 IV.I

    .

    /

    ,

    . / ( 0.05),

    ,

    .

    ,

    (

    ...).

    (>500nm). ,

    / 0.4 0.6.

    , .

    , , .

    Si (. V.3). ,

    110

  • /

    , ,

    .

    AFM, . ,

    ,

    .

    ,

    .

    , ,

    ,

    . ,

    , (

    ,

    ), ,

    .

    7-8nm,

    .

    ,

    / .

    IV.2. Ti Au

    Ti Cr ,

    , .

    Ti

    Au .

    111

  • Ti Cr, ,

    .

    ,

    [93, 94, 111, 112].

    , ,

    ,

    .

    [113],

    [114]. ,

    ,

    [115-121].

    ,

    , , Au

    .

    ,

    ,

    , .

    ,

    . ,

    , ,

    , .

    ,

    .

    .

    (nucleation),

    (),

    . , ,

    .

    , ,

    .

    112

  • ,

    Ti

    , .

    ,

    Au,

    Si, Ti, Si [92].

    , IV.1,

    Ti

    .

    ,

    500 1300nm .

    5%w.t., 100V 1-2C.

    5%w.t. 30min.

    , 40nm

    ( ) Ti

    . Ti,

    , .

    KAu(CN)2 0.1,

    2.5V. .

    , [Au(CN)2]-,

    .

    Butler-Volmer.

    [Au(CN)2]- :

    + CNCNAuCNAu ad)]([)]([ 2 :

    + adoad CNAueCNAu )]([)]([ :

    + CNAuCNAu ado )]([

    113

  • ,

    [122].

    ,

    .

    ,

    55C,

    . SEM IV.8

    , IV.9

    , Au.

    1m

    V.8: SEM . 2m 80-90nm. .

    SEM ( IV.8), Au

    , .

    ,

    .

    , ,

    114

  • .

    ( IV.9).

    500nm

    V.9: SEM . 800nm. , Ti ( Ti 3-4nm ). Ti, , .

    ,

    , Au .

    ,

    .

    5%w.t. 40V,

    1-2C.

    38.5min

    ,

    .

    Ti, 20nm, .

    115

  • ,

    IV.10.

    500 nm

    IV.10: SEM , Ti Si . .

    SEM IV.10,

    ,

    . ~50nm,

    . 50 100nm.

    IV.3. Cr Si Si Si.

    Cr, Si,

    , Si .

    [8-10].

    10nm [11]. Cr,

    116

  • IV.1,

    Si Si, .

    Cr, .

    ,

    27nm

    5%w.t. 40V,

    1C.

    20, 24

    30min 5%w.t.

    40nm Cr.

    4min

    55C, Cr.

    8nm ,

    15.5nm 30min, 30 50nm.

    IV.11.

    IV.11, Cr

    , 56

    nm Si ().

    . 20min ( )),

    8nm 30nm, 24min ( )),

    12.5nm 35-40nm 30min

    ( ),

    14-15nm 40-45nm.

    Cr,

    (Reactive Ion

    Etching technology RIE)

    SF6/CHF3.

    117

  • )

    )

    )

    IV.11: AFM Cr 56nm. () 20min, ()24min () 30min Cr ( ) 40nm. Cr () ~8nm ~30nm, () 12.5nm 35-40nm () ~14-15nm ~40-45nm .

    IV.13

    . IV.13() Si

    Cr ,

    118

  • , IV.13() Si,

    , .

    ) )

    V.13: () () .

    , SF6/CHF3

    40/60, 10mTorr, 400W [127] 50s,

    2000A

    . ,

    .

    AFM V.14.

    (), () () IV.14

    Si

    IV.11(), IV.11() IV.11() . IV.14()

    ~18nm, () ~ 32nm ()

    92nm. AFM IV.11,

    ,

    , Cr

    . (),

    ( V.11), (.

    V.14), 35 nm,

    (~8nm) ,

    ,

    18nm.

    119

  • )

    )

    )

    IV.14: AFM IV.11 (), () (), SF6/CHF3. V.14 () ~18 nm, () ~ 32nm () ~ 92nm.

    , 12 nm,

    ( V.11),

    120

  • , ~32nm (

    V.14). ,

    . , ,

    Cr , 40-45nm ~15nm

    ( V.11).

    , Si,

    ,

    ( V.14), .

    ,

    90-100nm, ,

    . ,

    8nm, ,

    ,

    ,

    , 2-3nm.

    Cr

    ( ),

    35nm,

    7-8nm, .

    Cr,

    ,

    .

    Cr,

    .

    ,

    , IV.1

    .

    ,

    . ,

    , AFM. ,

    Cr

    121

  • ,

    . ,

    .

    V.4.

    ,

    IV.2, Au

    I

    , . ,

    Ti Cr 30 45nm

    ~15nm, ,

    . Ti

    ,

    ,

    ,

    . Cr,

    .

    .

    [113],

    [115-121]. , Si

    [123], [124],

    [125], [126] .

    ,

    ,

    122

  • .

    Ti Cr Si,

    Au Si.

    Si,

    ,

    .

    123

  • V SiO2

    ,

    [128] [129],

    ... ,

    N

    N (NEMS).

    SiO2 Si,

    , .

    ,

    ,

    .

    SiO2 ,

    Si .

    Si,

    ,

    Si. ,

    , ( 1011

    /cm2). ,

    ,

    ,

    125

  • .

    SiO2 2003, Ono

    (2003) [130], Mei et al [59-60] Nassiopoulou et al [63-64].

    , SiO2

    .

    , ,

    25nm

    .

    ,

    [131-133]. , SiO2

    ,

    SiO2 Si

    . ,

    , .

    Si,

    SiO2 Si.

    ,

    .

    Si SiO2

    (ion beam synthesis).

    Si.

    V.1 Si2 Si

    ,

    ,

    126

  • ,

    .

    [84].

    , V.1.

    ,

    .

    V.1:

    .

    ,

    .

    ,

    ,

    SiO2, /.

    , SiO2

    ( ,

    ). ,

    .

    ,

    (AFM), .

    500nm,

    p 1-10.cm.

    , ,

    . ,

    ,

    .

    127

  • , V.2

    , , .

    ,

    (), () (),

    .2.8 .

    , ,

    , ,

    .

    , ( ).

    V.2: . (, , ) . , .

    ,

    SiO2, ,

    ,

    .

    , III.1

    128

  • . , ,

    (0.02

    0.055mA) . ,

    55C SiO2,

    .

    V.1.1

    (AFM)

    ,

    SiO2. AFM

    V.3,

    ( ).

    SiO2 ,

    () , () ()

    , Al Si.

    , 0.02mA, .

    , ,

    10nm 55nm 70nm (

    70nm ,

    ).

    , 35nm

    4nm. ,

    V.3, ,

    .

    129

  • ) ) )

    V.3: AFM SiO2 , : ) . 52-70nm 7-12nm. ) . 23-35nm 2.5-4 nm. ) . 28nm, 2-3.5nm.

    ,

    ,

    V.1 SiO2

    .

    V. 1

    SiO2 * / SiO2 : 0.02mA 0.055mA 2SO4 6%w.t 20V 52-70nm / ~7-11nm 54nm/10-12nm C2H2O4 5%w.t 40V 23-35nm/ ~2.5-3nm 28-40nm/2.5-4nm H3PO4 10%w.t 100V ~28nm/ ~2-3nm 31nm/~3.5nm

    * 0-1C.

    , ,

    ,

    130

  • . ,

    .

    .

    0.02mA,

    33s , 60s,

    ~120s.

    0.055mA, ,

    ~60s, ~80s, ~150s

    .

    ,

    . ,

    .

    . ,

    ,

    SiO2, ,

    ,

    .

    SiO2 , AFM,

    ,

    .

    , AFM.

    AFM V.4,

    . (), () ()

    Si,

    .

    .

    () Si

    , ()

    131

  • ()

    .

    ) )

    )

    V.4: AFM Si, . Si. () 20V. () 40V. () 100V.

    . ,

    ,

    , .

    , ( V.4()).

    ,

    ,

    , . Mei et al [134]

    132

  • Si/

    ( ), ,

    , SiO2.

    V.4,

    , SiO2

    ,

    .

    . ,

    , .

    , ,

    ,

    ,

    . Seo et al

    [84].

    ,

    .

    AFM ( V.4),

    ( V.3 )

    )). .

    ,

    (

    ). ,

    , ,

    .

    ,

    (

    V.1). V.5,

    .

    ,

    , .

    , ,

    .

    133

  • , .

    V.5:

    ,

    SiO2 Si.

    ,

    ,

    .

    ,

    ,

    .

    SiO2 .

    .

    .

    , ,

    . ,

    . .

    , ,

    .

    134

  • V.1.2 SiO2

    ,

    ,

    SiO2

    . ,

    . ,

    ,

    , 0.01, 0.015,

    0.04 0.1mA.

    V.2. ,

    , 0.04

    0.1mA, .

    V.2

    * / : 2SO4 6%w.t 20V SiO2 ~36nm/11-13nm 0.01mA

    ~36nm/15nm 0.015 ~42nm/12-16nm 0.04

    ~42-46/15nm

    0.1 * 2-5oC

    7 13nm, .

    ,

    .

    , ,

    135

  • ~40nm,

    ,

    .

    V.1.1 ,

    , ,

    70nm

    .

    . ,

    ,

    SiO2 ( SiO2).

    .

    , ,

    ,

    , . ,

    90nm.

    ,

    AFM, .

    ,

    . V.1.1 ,

    52nm. , V.1.2,

    ,

    ~40nm.

    .

    ,

    .

    ,

    3-4C.

    , . ,

    ,

    2-3C.

    136

  • ,

    ,

    .

    (

    ).

    3-4C.

    , , .

    AFM V.6, SiO2,

    , 0.015mA 5C.

    )

    )

    15nm

    ~35nm. ) V.6

    AFM

    (line scan),

    )

    V.6: AFM () 2D () 3D SiO2 , 5-6C 0.015mA. 15nm 35nm. (line scan) () Si/SiO2, Si.

    )

    )

    137

  • Si. ,

    , V.7.

    , ,

    SiO2.

    V.7: , , 20V, 5-6C. 0.015mA. SiO2

    0.1mA ( AFM V.8), ,

    ,

    .

    V.6. ,

    V.6 (12-15nm), ~46nm.

    () V.8, SiO2

    .

    138

  • ) )

    )

    V.8: AFM () 2D () 3D, V.6. 0.1mA. ~46nm, 12-15nm. () AFM (line scan), .

    ,

    SiO2.

    , ,

    .

    ,

    ,

    .

    V.1.3 SiO2

    Si SiO2 ,

    , , ,

    .

    139

  • SiO2 . o

    . , , ,

    , ,

    .

    ,

    SiO2.

    . ,

    . , , SiO2

    500nm, .

    ,

    Si2,

    SiO2.

    200nm,

    . ,

    1-2C.

    ,

    V.9.

    V.9: , SiO2, , . . 0.0023mA.

    140

  • ,

    ,

    , .

    ,

    Si.

    .

    0.0023mA.

    10h,

    , AFM, SiO2

    , . O

    , (

    V.10), ~35nm 12-15nm.

    ,

    )

    )

    V.10: AFM () 2D () 3D SiO2 Si. 1-2C, 20V. , ~35-38nm, 12-15nm 1011 /cm2.

    141

  • . ,

    ,

    .

    .

    ,

    (

    , ). ,

    ,

    , .

    Si,

    SiO2 Si.

    142

  • V.2

    SiO2

    MIS

    ,

    CMOS MIS. MS (Metal Insulator

    Semiconductor) [135],

    , Si p n,

    ( SiO2).

    . ,

    ,

    ,

    . V.11

    .

    Al

    , ,

    CMOS,

    . ,

    ,

    , ,

    .

    V.11: MS (metal insulator semiconductor)

    143

  • ,

    .

    , ,

    SiO2 .

    ,

    () p . ,

    (C-V), -

    (G-V) .

    .

    V.2.1 MIS SiO2. MIS

    , Si p.

    (),

    ,

    SiO2,

    V.. V.12

    MIS.

    () .

    ()

    .

    ( 1). ()

    .

    ,

    ,

    SiO2 ( 2).

    144

  • )

    Al

    6% , 20V.

    1-2C.

    27nm,

    , 56nm,

    10 13nm

    ~1011/cm2. 2, SiO2

    , 30 35nm

    8-10nm. V.13 AFM

    . ()

    () ( )

    SiO2 ,

    .

    )

    )

    Al . SiO2 .

    SiO2

    1

    2

    V.12: SiO2 . () Si. ) . ) ( 2), , SiO2.

    145

  • ) )

    V.13: AFM ) 20V, Si p. SiO2 . ) SiO2 . 10-13nm, 1011 /cm2. SiO2 ~35nm, 8-10nm. .

    MIS ( V.11).

    :

    .

    300nm, .

    ,

    ( lift-off). ,

    1x10-4 cm2

    1.6x10-3cm2,

    . ,

    , ,

    . MIS,

    ( 1)

    SiO2 ( 2).

    146

  • MIS

    , V.14.

    V.14: . Si Si ( 1), Si, SiO2.

    147

  • V.2.2 C-V, G-V

    V.2.2a

    MS [136] (C-V, G-V) ,

    () ,

    ,

    .

    V.2.2

    MIS.

    (microprober station).

    , C-V.

    dQ, dV

    .

    ,

    . , ,

    1MHz 1kHz,

    10-25mV.

    , , C=dQ/dV.

    Alpha N Novocontrol technologies,

    WinDeta.

    C-V MIS

    : (1) (accumulation), (2)

    (depletion) (3) (inversion).

    148

  • p, :

    (1)

    . ( )

    .

    .

    (2)

    , ,

    , /.

    .

    , .

    , ,

    ,

    .

    C-V, .

    (3)

    , ,

    ( ).

    ,

    ( ) (

    ).

    p

    n. .

    .

    ,

    149

  • .

    , MIS, , 9x10-4cm2.

    DC, 1 -4V 0.05V.

    1 z 1.6 kz. ,

    C-V MOS SiO2

    V.2.2 1

    C-V 1

    V.15. : ,

    .

    -4 -2 0 20,0

    2,0x10-11

    4,0x10-11

    6,0x10-11

    8,0x10-11

    1,0x10-10 1x106 Hz

    5x105 Hz 8x103 Hz 4x104 Hz 1x105 Hz 2x105 Hz

    Cp (

    F)

    V (Volts)

    (1) (2) (3)

    V.15: 1, 1.6x103 1MHz. (1) , (2) (3) . DC 1 -4V, 0.05V.

    1, C-V

    .

    ( (1)), .

    150

  • . ,

    .

    ( 2),

    .

    V.16,

    , , f=2x105Hz.

    (G-V)

    (C-V). ,

    ,

    MIS.

    -4 -2 0 20.0

    2.0x10-11

    4.0x10-11

    6.0x10-11

    8.0x10-11

    1.0x10-10 f = 2e05 Hz

    V (Volts)

    C (F

    )

    0.0

    2.0x10-6

    4.0x10-6

    6.0x10-6

    8.0x10-6

    1.0x10-5

    1.2x10-5

    Gp (1/O

    hm)

    V.16: 2x105Hz. C-V. , , MIS.

    V.2.2 2

    2, C-V,

    V.17. V.17, C-V,

    MIS. DC

    151

  • 1 -3.5V 0.05V. AC

    , 1.6kHz 1 Hz.

    MIS,

    (1) , (2) (3) .

    -4 -2 0 20,0

    2,0x10-11

    4,0x10-11

    6,0x10-11

    8,0x10-11

    1,0x10-10

    1x106 Hz 5x105 Hz 1,6x103 Hz 8x103 Hz 4x104 Hz 1x105 Hz 2x105 Hz

    Cp

    (F)

    V (Volts)

    (1) (2) (3)

    V.17: C-V 2. 1 -3.5V, 0.05V,