PDF Electrical Engineering Dictionary

751
“Book/Definitions” Electrical Engineering Dictionary. Ed. Phillip A. Laplante Boca Raton: CRC Press LLC, 2000

Transcript of PDF Electrical Engineering Dictionary

  • Book/DefinitionsElectrical Engineering Dictionary.Ed. Phillip A. LaplanteBoca Raton: CRC Press LLC, 2000

  • SpecialSymbols

    -level set a crisp set of elements belong-ing to a fuzzy set A at least to a degree

    A = {x X | A(x) }

    See also crisp set, fuzzy set.

    1f common symbol for bandwidth, inhertz.

    rGaAs common symbol for gallium ar-senide relative dielectric constant. rGaAs =12.8.

    rSi common symbol for silicon relativedielectric constant. rSi = 11.8.

    0 symbol for permitivity of free space.0 = 8.849 1012 farad/meter.

    r common symbol for relative dielectricconstant.

    DC common symbol for DC to RF con-version efficiency. Expressed as a percent-age.

    a common symbol for power added ef-ficiency. Expressed as a percentage.

    t common symbol for total or true effi-ciency. Expressed as a percentage.

    0opt common symbol for source reflec-tion coefficient for optimum noise perfor-mance.

    0 common symbol for permeability offree space constant. 0 = 1.257 1016henrys/meter.

    r common symbol for relative perme-ability.

    common symbol for radian frequencyin radians/second. = 2 frequency.

    + common symbol for positive transitionangle in degrees.

    common symbol for negative transi-tion angle in degrees.

    cond common symbol for conduction an-gle in degrees.

    sat common symbol for saturation anglein degrees.

    CC common symbol for FET channel-to-case thermal resistance in C/watt.

    JC common symbol for bipolar junction-to-case thermal resistance in C/watt.

    A common symbol for Richardsonsconstant. A = 8.7 amperes cm/K

    BVGD See gate-to-drain breakdownvoltage.

    BVGS See gate-to-source breakdownvoltage.

    dv/dt rate of change of voltage with-stand capability without spurious turn-on ofthe device.

    Hci See intrinsic coercive force.

    ne common symbol for excess noise inwatts.

    nsh common symbol for shot noise inwatts.

    c2000 by CRC Press LLC

  • nt common symbol for thermal noise inwatts.

    10base2 a type of coaxial cable used toconnect nodes on an Ethernet network. The10 refers to the transfer rate used on standardEthernet, 10 megabits per second. The basemeans that the network uses baseband com-munication rather than broadband communi-cations, and the 2 stands for the maximumlength of cable segment, 185 meters (almost200). This type of cable is also called thinEthernet, because it is a smaller diameter ca-ble than the 10base5 cables.

    10base5 a type of coaxial cable used toconnect nodes on an Ethernet network. The10 refers to the transfer rate used on stan-dard Ethernet, 10 megabits per second. Thebase means that the network uses basebandcommunication rather than broadband com-munications, and the 5 stands for the max-imum length of cable segment of approxi-mately 500 meters. This type of cable is alsocalled thick Ethernet, because it is a largerdiameter cable than the 10base2 cables.

    10baseT a type of coaxial cable used toconnect nodes on an Ethernet network. The10 refers to the transfer rate used on standardEthernet, 10 megabits per second. The basemeans that the network uses baseband com-munication rather than broadband communi-cations, and the T stands for twisted (wire)cable.

    2-D Attasi model a 2-D model describedby the equations

    xi+1,j+1 = A1A2xi,j + A1xi+1,j+ A2xi,j+1 + Buij

    yij = Cxij +Duiji, j Z+ (the set of nonnegative integers).Here xij Rn is the local state vector,uij Rm is the input vector, yij Rp isthe output vector, and A1, A2, B,C,D arereal matrices. The model was introduced byAttasi in Systemes lineaires homogenes a

    deux indices, IRIA Rapport Laboria, No.31, Sept. 1973.

    2-D FornasiniMarchesini model a 2-Dmodel described by the equations

    xi+1,j+1 = A0xi,j + A1xi+1,j+ A2xi,j+1 + Buij (1a)

    yij = Cxij +Duij (1b)i, j Z+ (the set of nonnegative integers)here xij Rn is the local state vector,uij Rm is the input vector, yij Rp isthe output vector Ak (k = 0, 1, 2), B,C,Dare real matrices. A 2-D model described bythe equations

    xi+1,j+1 = A1xi+1,j + A2xi,j+1+ B1ui+1,j + B2ui,j+1 (2)

    i, j Z+ and (1b) is called the second 2-DFornasiniMarchesini model, where xij , uij ,and yij are defined in the same way as for (1),Ak , Bk (k = 0, 1, 2) are real matrices. Themodel (1) is a particular case of (2).

    2-D general model a 2-D model de-scribed by the equations

    xi+1,j+1 = A0xi,j + A1xi+1,j+ A2xi,j+1 + B0uij+ B1ui+1,j + B2ui,j+1

    yij = Cxij +Duiji, j Z+ (the set of nonnegative integers)here xij Rn is the local state vector, uij Rm is the input vector, yij Rp is the outputvector andAk ,Bk (k = 0, 1, 2),C,D are realmatrices. In particular case forB1 = B2 = 0we obtain the first 2-D FornasiniMarchesinimodel and forA0 = 0 and B0 = 0 we obtainthe second 2-D FornasiniMarchesini model.

    2-D polynomial matrix equation a 2-Dequation of the form

    AX + BY = C (1)

    where A Rkp [s], B Rkq [s], C Rkm [s] are given, by a solution to (1) we

    c2000 by CRC Press LLC

  • mean any pair X Rpm [s], Y Rqm [s]satisfying the equation. The equation (1)has a solution if and only if the matrices[A,B,C] and [A,B, 0] are column equiva-lent or the greatest common left divisor of AandB is a left divisor ofC. The 2-D equation

    AX + YB = C (2)

    A Rkp [s], B Rqm [s], C Rkm [s]are given, is called the bilateral 2-D polyno-mial matrix equation. By a solution to (2) wemean any pair X Rpm [s], Y Rkq [s]satisfying the equation. The equation has asolution if and only if the matrices[

    A 00 B

    ]and

    [A C

    0 B

    ]are equivalent.

    2-D Roesser model a 2-D model de-scribed by the equations[xhi+1,jxvi,j+1

    ]=[A1 A2A3 A4

    ][xhijxvij

    ]+[B1B2

    ]uij

    i, j Z+ (the set of nonnegative integers),

    yij = C[xhijxvij

    ]+Duij

    Here xhij Rn1 and xvij Rn2 are the hori-zontal and vertical local state vectors, respec-tively, uij Rm is the input vector, yij Rpis the output vector and A1, A2, A3, A4, B1,B2, C, D are real matrices. The model wasintroduced by R.P. Roesser in A discretestate-space model for linear image process-ing, IEEE Trans. Autom. Contr., AC-20,No. 1, 1975, pp. 1-10.

    2-D shuffle algorithm an extension of theLuenberger shuffle algorithm for 1-D case.The 2-D shuffle algorithm can be used forchecking the regularity condition

    det [Ez1z2 A0 A1z1 A2z2] 6= 0

    for some (z1, z2) CC of the singular gen-eral model ( See singular 2-D general model).

    The algorithm is based on the row compres-sion of suitable matrices.

    2-D Z-transform F(z1, z2) of a dis-crete 2-D function fij satisfying the condi-tion fij = 0 for i < 0 or/and j < 0 isdefined by

    F (z1, z2) =i=0

    j=0

    fij zi1 z

    j2

    An 2-D discrete fij has the 2-D Z-transformif the sum

    i=0

    j=0

    fij zi1 z

    j2

    exists.

    2DEGFET See high electron mobilitytransistor(HEMT).

    2LG See double phase ground fault.

    3-dB bandwidth for a causal low-passor bandpass filter with a frequency functionH(j) the frequency at which | H(j) |dBis less than 3 dB down from the peak value| H(P ) |.3-level laser a laser in which the mostimportant transitions involve only three en-ergy states; usually refers to a laser in whichthe lower level of the laser transition is sepa-rated from the ground state by much less thanthe thermal energy kT. Contrast with 4-levellaser.

    3-level system a quantum mechanicalsystem whose interaction with one or moreelectromagnetic fields can be described byconsidering primarily three energy levels.For example, the cascade, vee, and lambdasystems are 3-level systems.

    4-level laser a laser in which the mostimportant transitions involve only four en-ergy states; usually refers to a laser in whichthe lower level of the laser transition is sep-arated from the ground state by much more

    c2000 by CRC Press LLC

  • than the thermal energy kT . Contrast with3-level laser.

    45 Mbs DPCM for NTSC color videoa codec wherein a subjectively pleasing pic-ture is required at the receiver. This doesnot require transparent coding quality typicalof TV signals. The output bit-rate for videomatches the DS3 44.736 Megabits per secondrate. The coding is done by PCM coding theNTSC composite video signal at three timesthe color subcarrier frequency using 8 bit perpixel. Prediction of current pixel is obtainedby averaging the pixel three after current and681 pixels before next to maintain the sub-carrier phase. A leak factor is chosen beforecomputing prediction error to main the quali-

    ty of the image. For example a leak factor of3132 the prediction decay is maintained at thecenter of the dynamic range.

    XL = 128 +31

    32

    (X 128) .

    Finally, a clipper at the coder and decoderis employed to prevent quantization errors.

    90% withstand voltage a measure ofthe practical lightning or switching-surge im-pulse withstand capability of a piece of powerequipment. This voltage withstand level istwo standard deviations above the BIL of theequipment.

    c2000 by CRC Press LLC

  • Aa posteriori probability See posteriorstatistics.

    a priori probability See prior statistics.

    A-mode display returned ultrasoundechoes displayed as amplitude versus depthinto the body.

    A-site in a ferroelectric material with thechemical formula ABO3, the crystalline lo-cation of the A atom.

    A/D See analog-to-digital converter.

    AAL See ATM adaptation layer.

    ABC See absorbing boundary condition.

    ABCD propagation of an optical raythrough a system can be described by a sim-ple 22 matrix. In ray optics, the character-istic of a system is given by the correspond-ing ray matrix relating the rays position fromthe axis and slope at the input to those at theoutput.

    ABCD formalism analytic method usingtwo-by-two ABCD matrices for propagatingGaussian beams and light rays in a wide va-riety of optical systems.

    ABCD law analytic formula for trans-forming a Gaussian beam parameter fromone reference plane to another in paraxial op-tics, sometimes called the Kogelnik transfor-mation. ABCD refers to the ABCD matrix.

    ABCD matrix the matrix containingABCD parameters. See ABCD parameters.

    ABCD parameters a convenient mathe-matical form that can be used to characterize

    two-port networks. Sometimes referred toas chain parameters. ABCD parameters arewidely used to model cascaded connectionsof two-port microwave networks, in whichcase the ABCD matrix is defined for eachtwo-port network. ABCD parameters canalso be used in analytic formalisms for prop-agating Gaussian beams and light rays. Raymatrices and beam matrices are similar butare often regarded as distinct.

    ABC parameters have a particularly use-ful property in circuit analysis where thecomposite ABCD parameters of two cas-caded networks are the matrix products ofthe ABCD parameters of the two individualcircuits. ABCD parameters are defined as[

    v1i1

    ]=[A B

    C D

    ] [v2i2

    ]where v1 and v2 are the voltages on ports oneand two, and i1 and i2 are the branch currentsinto ports one and two.

    aberration an imperfection of an opticalsystem that leads to a blurred or a distortedimage.

    abnormal event any external or program-generated event that makes further normalprogram execution impossible or undesir-able, resulting in a system interrupt. Exam-ples of abnormal events include system de-tection of power failure; attempt to divide by0; attempt to execute privileged instructionwithout privileged status; memory parity er-ror.

    abort (1) in computer systems, to termi-nate the attempt to complete the transaction,usually because there is a deadlock or be-cause completing the transaction would re-sult in a system state that is not compati-ble with correct behavior, as defined by aconsistency model, such as sequential con-sistency.

    (2) in an accelerator, terminating the ac-celeration process prematurely, either by in-hibiting the injection mechanism or by re-moving circulating beam to some sort of

    c2000 by CRC Press LLC

  • dump. This is generally done to prevent in-jury to some personnel or damage to acceler-ator components.

    ABR See available bit rate.

    absolute address an address within aninstruction that directly indicates a location inthe programs address space. Compare withrelative addressing.

    absolute addressing an addressing modewhere the address of the instruction operandin memory is a part of the instruction so thatno calculation of an effective address by theCPU is necessary.

    For example, in the Motorola M68000 ar-chitecture instruction ADD 5000,D1, a 16-bitword operand, stored in memory at the wordaddress 5000, is added to the lower word inregister D1. The address 5000 is an exam-ple of using the absolute addressing mode.See also addressing mode.

    absolute encoder an optical devicemounted to the shaft of a motor consistingof a disc with a pattern and light sources anddetectors. The combination of light detectorsreceiving light depends on the position of therotor and the pattern employed (typically theGray code). Thus, absolute position infor-mation is obtained. The higher the resolutionrequired, the larger the number of detectorsneeded. See also encoder.

    absolute moment The pth order absolutemoment p of a random variable X is theexpectation of the absolute value of X raisedto the pth power:

    p = E[|X|]p.

    See also central moment, central absolutemoment. See also expectation.

    absolute pressure units to measure gaspressure in a vacuum chamber with zero be-ing a perfect vacuum. Normally referred toas psia (pounds per square inch absolute).

    absolute sensitivity denoted S(y, x), issimply the partial derivative of y with respectto x, i.e., S(y, x) = y/x, and is used toestablish the relationships between absolutechanges. See sensitivity, sensitivity measure,relative sensitivity, semi-relative sensitivity.

    absolute stability occurs when the net-work function H(s) has only left half-planepoles.

    absorber generic term used to describematerial used to absorb electromagnetic en-ergy. Generally made of polyurethanefoam and impregnated with carbon (and fire-retardant salts), it is most frequently used toline the walls, floors and ceilings of anechoicchambers to reduce or eliminate reflectionsfrom these surfaces.

    absorbing boundary condition (ABC) afictitious boundary introduced in differentialequation methods to truncate the computa-tional space at a finite distance without, inprinciple, creating any reflections.

    absorption (1) process that dissipates en-ergy and causes a decrease in the amplitudeand intensity of a propagating wave betweenan input and output reference plane.

    (2) reduction in the number of photons of aspecific wavelength or energy incident upona material. Energy transferred to the materialmay result in a change in the electronic struc-ture, or in the relative movement of atoms inthe material (vibration or rotation).

    (3) process by which atoms or moleculesstick to a surface. If a bond is formed, it istermed chemisorption, while the normal caseis physisorption. The absorption process pro-ceeds due to, and is supported by, the fact thatthis is a lower energy state.

    absorption coefficient (1) in a passive de-vice, the negative ratio of the power absorbed(pabsorbed = pinpout) ratioed to the power in(pin = pincident preflected) per unit length (l),usually expressed in units of 1/wavelength or1/meter.

    c2000 by CRC Press LLC

  • (2) factor describing the fractional atten-uation of light with distance traversed in amedium, generally expressed as an exponen-tial factor, such as k in the function ekx ,with units of (length)-1. Also called attenu-ation coefficient.

    absorption cross section energy ab-sorbed by the scattering medium, normal-ized to the wavenumber. It has dimensionsof area.

    absorption edge the optical wavelengthor photon energy corresponding to the sep-aration of valence and conduction bands insolids; at shorter wavelengths, or higher pho-ton energies than the absorption edge, the ab-sorption increases strongly.

    absorption grating (1) a diffractiongrating where alternate grating periods areopaque.

    (2) an optical grating characterized byspatially periodic variation in the absorptionof light. Absorption gratings are generallyless efficient than phase gratings.

    absorption optical fiber the amount ofoptical power in an optical fiber capturedby defect and impurity centers in the energybandgap of the fiber material and lost in theform of longwave infrared radiation.

    AC See alternating current.

    AC bridge one of a wide group ofbridge circuits used for measurements of re-sistances, inductances, and capacitances, andto provide AC signal in the bridge transducersincluding resistors, inductors, and capacitors.

    The Wheatstone bridge can be used witha sinusoidal power supply, and with an ACdetector (headphones, oscilloscope), one canuse essentially the same procedure for mea-surement of resistors as in DC applications.Only a small number of other AC bridges areused in modern electric and electronic equip-ment. A strong selection factor was the factthat in a standard capacitor the electrical pa-

    rameter are closest to the parameters of anideal capacitor. Hence, not only a capaci-tance is measured in terms of capacitance (inresistive ratio arms bridges), but the induc-tance as well is measured in terms of capac-itance (Hay and Owen bridges).

    The AC bridges with ratio arms that aretightly coupled inductances allow measure-ment of a very small difference between cur-rents in these inductances, and this fact isused in very sensitive capacitance transduc-ers.

    AC circuit electrical network in which thevoltage polarity and directions of current flowchange continuously, and often periodically.Thus, such networks contain alternating cur-rents as opposed to direct currents, therebygiving rise to the term.

    AC coupling a method of connecting twocircuits that allows displacement current toflow while preventing conductive currents.Reactive impedance devices (e.g., capacitorsand inductive transformers) are used to pro-vide continuity of alternating current flowbetween two circuits while simultaneouslyblocking the flow of direct current.

    AC motor an electromechanical sys-tem that converts alternating current electri-cal power into mechanical power.

    AC plasma display a display that em-ploys an internal capacitive dielectric layerto limit the gas discharge current.

    AC steady-state power the averagepower delivered by a sinusoidal source to anetwork, expressed as

    P =| V | | I | cos()

    where

    2 | V | and 2 | I | are the peakvalues, respectively, of the AC steady-statevoltage and current at the terminals. rep-resents the phase angle by which the voltageleads the current.

    c2000 by CRC Press LLC

  • AC/AC converter a power electronicsdevice in which an AC input voltage of somemagnitude, frequency, and number of phasesis changed to an AC output with changes toany of the previously mentioned parameters.AC/AC converters usually rectify the inputsource to a DC voltage and then invert theDC voltage to the desired AC voltage.

    AC/DC converter See rectifier.

    AC-DC integrated system a power sys-tem containing both AC and DC transmissionlines.

    ACARS aircraft communications ad-dressing and reporting. A digital commu-nications link using the VHF spectrum fortwo-way transmission of data between an air-craft and ground. It is used primarily in civilaviation applications.

    ACC See automatic chroma control.

    accelerated testing tests conducted athigher stress levels than normal operation butin a shorter period of time for the specificpurpose to induce failure faster.

    accelerating power the excess electricpower at a synchronous machine unit whichcannot be transmitted to the load because ofa short circuit near its terminals. This energygives rise to increasing rotor angle.

    acceleration error the final steady dif-ference between a parabolic setpoint and theprocess output in a unity feedback controlsystem. Thus it is the asymptotic error in po-sition that arises in a closed loop system thatis commanded to move with constant acceler-ation. See also position error, velocity error.

    acceleration error constant a gain Kafrom which acceleration error ea is read-ily determined. The acceleration error con-stant is a concept that is useful in the designof unity feedback control systems, since ittransforms a constraint on the final acceler-

    ation error to a constraint on the gain of theopen loop system. The relevant equationsare ea = 1Ka and Ka = limsinf tys2q(s),where q(s) is the transfer function modelof the open loop system, including the con-troller and the process in cascade, and s isthe Laplace variable. See also position errorconstant, velocity error constant.

    accelerator (1) a positive electrode in avacuum tube to accelerate emitted electronsfrom its cathode by coulomb force in a de-sired direction.

    (2) a machine used to impart large kineticenergies to charged particles such as elec-trons, protons, and atomic nuclei. The ac-celerated particles are used to probe nuclearor subnuclear phenomena in industrial andmedical applications.

    acceptable delay the voice signal de-lay that results in inconvenience in the voicecommunication. A typically quoted value is300 ms.

    acceptance in an accelerator, it defineshow "large" a beam will fit without scrap-ing into the limiting aperture of a transportline. The acceptance is the phase-space vol-ume within which the beam must lie to betransmitted through an optical system with-out losses. From an experimenters pointof view acceptance is the phase-space vol-ume intercepted by an experimenters detec-tor system.

    acceptor (1) an impurity in a semicon-ductor that donates a free hole to the valenceband.

    (2) a dopant species that traps electrons,especially with regard to semiconductors.

    access channel a channel in a communi-cations network that is typically allocated forthe purpose of setting up calls or communi-cation sessions. Typically the users share theaccess channel using some multiple accessalgorithm such as ALOHA or CSMA.

    c2000 by CRC Press LLC

  • access control a means of allowing ac-cess to an object based on the type of ac-cess sought, the accessors privileges, and theowners policy.

    access control list a list of items associ-ated with a file or other object; the list con-tains the identities of users that are permittedaccess to the associated file. There is infor-mation (usually in the form of a set of bits)about the types of access (such as read, write,or delete) permitted to the user.

    access control matrix a tabular repre-sentation of the modes of access permittedfrom active entities (programs or processes)to passive entities (objects, files, or devices).A typical format associates a row with an ac-tive entity or subject and a column with anobject; the modes of access permitted fromthat active entity to the associated passive en-tity are listed in the table entry.

    access line a communication line thatconnects a users terminal equipment to aswitching node.

    access mechanism a circuit board or anintegrated chip that allows a given part of acomputer system to access another part. Thisis typically performed by using a specific ac-cess protocol.

    access protocol a set of rules that estab-lishes communication among different parts.These can involve both hardware and soft-ware specifications.

    access right permission to perform anoperation on an object, usually specified asthe type of operation that is permitted, suchas read, write, or delete. Access rights canbe included in access control lists, capabilitylists, or in an overall access control matrix.

    access time the total time needed to re-trieve data from memory. For a disk drivethis is the sum of the time to position theread/write head over the desired track and the

    time until the desired data rotates under thehead. (LW)

    accidental rate the rate of false coinci-dences in the electronic counter experimentproduced by products of the reactions of morethan one beam particle within the time reso-lution of the apparatus.

    accumulation (1) an increase in the ma-jority carrier concentration of a region ofsemiconductor due to an externally appliedelectric field.

    accumulator (1) a register in the CPU(processor) that stores one of the operandsprior to the execution of an operation, andinto which the result of the operation isstored. An accumulator serves as an implicitsource and destination of many of the pro-cessor instructions. For example, register Aof the Intel 8085 is an accumulator. See alsoCPU .

    (2) the storage ring in which successivepulses of particles are collected to create aparticle beam of reasonable intensity for col-liding beams.

    achievable rate region for a multipleterminal communications system, a set ofrate-vectors for which there exist codes suchthat the probability of making a decoding er-ror can be made arbitrarily small. See alsocapacity region, multiple access channel.

    achromatic the quality of a transport lineor optical system where particle momentumhas no effect on its trajectory through the sys-tem. In an achromatic device or system, theoutput beam displacement or divergence (orboth) is independent of the input beams mo-mentum. If a system of lenses is achromatic,all particles of the same momentum will haveequal path lengths through the system.

    ACI See adjacent channel interference.

    c2000 by CRC Press LLC

  • acknowledge (1) a signal which indicatesthat some operation, such as a data transfer,has successfully been completed.

    (2) to detect the successful completion ofan operation and produce a signal indicatingthe success.

    acoustic attenuation the degree of am-plitude suppression suffered by the acous-tic wave traveling along the acousto-opticmedium.

    acoustic laser a laser (or maser) in whichthe amplified field consists of soundwaves orphonons rather than electromagnetic waves;phonon laser or phaser.

    acoustic memory a form of circulatingmemory in which information is encoded inacoustic waves, typically propagated througha trough of mercury. Now obsolete.

    acoustic velocity the velocity of theacoustic signal traveling along the acousto-optic medium.

    acoustic wave a propagating periodicpressure wave with amplitude representingeither longitudinal or shear particle displace-ment within the wave medium; shear wavesare prohibited in gaseous and liquid media.

    acousto-optic cell a device consisting ofa photo-elastic medium in which a propa-gating acoustic wave causes refractive-indexchanges, proportional to acoustic wave am-plitude, that act as a phase grating for diffrac-tion of light. See also Bragg cell.

    acousto-optic channelized radiometerSee acousto-optic instantaneous spectrumanalyzer in Bragg mode.

    acousto-optic correlator an optical sys-tem that consists of at least one acousto-optic cell, imaging optics between cells andfixed masks, and photodetectors whose out-puts correspond to the correlation function ofthe acoustic wave signal within one cell with

    another signal in a second cell, or with fixedsignals on a mask.

    acousto-optic deflector device devicewhere acousto-optic interaction deflects theincident beam linearly as a function of theinput frequency of the RF signal driving thedevice.

    acousto-optic device descriptor ofacousto-optic cells of any design; generallydescribes a cell plus its transducer struc-ture(s), and may encompass either bulk,guided-wave, or fiber-optic devices.

    acousto-optic effect the interaction oflight with sound waves and in particular themodification of the properties of a light waveby its interactions with an electrically con-trollable sound wave. See also Brillouinscattering.

    acousto-optic frequency excisor similarto an acousto-optic spectrum analyzer wherethe RF temporal spectrum is spatially and se-lectively blocked to filter the RF signal feed-ing the Bragg cell.

    acousto-optic instantaneous spectrum an-alyzer in Bragg mode device in which thetemporal spectrum of a radio frequency sig-nal is instantaneously and spatially resolvedin the optical domain using a Fourier trans-form lens and a RF signal-fed Bragg cell.

    acousto-optic modulator a device thatmodifies the amplitude or phase of a lightwave by means of the acousto-optic effect.

    acousto-optic processor an optical sys-tem that incorporates acousto-optic cells con-figured to perform any of a number of math-ematical functions such as Fourier trans-form, ambiguity transforms, and other time-frequency transforms.

    acousto-optic scanner a device that usesan acoustic wave in a photoelastic medium

    c2000 by CRC Press LLC

  • to deflect light to different angular positionsbased on the frequency of the acoustic wave.

    acousto-optic space integrating convolverdevice that is the same as an acousto-optic

    space integrating convolver except that it im-plements the convolution operation.

    acousto-optic space integrating correlatoran acousto-optic implementation of the cor-relation function where two RF signals arespatially impressed on two diffracted beamsfrom Bragg cells, and a Fourier transformlens spatially integrates these beams onto apoint sensor that generates a photo currentrepresenting the correlation function.

    acousto-optic spectrum analyzer anacousto-optic processor that produces at aphotodetector output array the Fourier de-composition of the electrical drive signal ofan acousto-optic device.

    acousto-optic time integrating convolversame as the acousto-optic time integrating

    correlator, except implements the signal con-volution operation. See acousto-optic timeintegrating correlator.

    acousto-optic time integrating correlatoran acousto-optic implementation of the cor-relation function where two RF signals arespatially impressed on two diffracted beamsfrom Bragg cells, and a time integrating sen-sor generates the spatially distributed corre-lation results.

    acousto-optic triple product processorsignal processor that implements a triple inte-gration operation using generally both spaceand time dimensions.

    acousto-optic tunable filter (AOTF) anacousto-optic device that selects specific op-tical frequencies from a broadband opticalbeam, depending on the number and frequen-cies of acoustic waves generated in the de-vice.

    acousto-optics the area of study of in-teraction of light and sound in media, andits utilization in applications such as signalprocessing and filtering.

    ACP See adjacent channel power.

    acquisition (1) in digital communica-tions systems, the process of acquiring syn-chronism with the received signal. Thereare several levels of acquisitions, and for agiven communication system several of themhave to be performed in the process of settingup a communication link: frequency, phase,spreading code, symbol, frame, etc.

    (2) in analog communications systems,the process of initially estimating signal pa-rameters (for example carrier frequency off-set, phase offset) required in order to begindemodulation of the received signal.

    (3) in vision processing, the process bywhich a scene (physical phenomenon) isconverted into a suitable format that al-lows for its storage or retrieval. See alsosynchronization.

    across the line starter a motor starter thatapplies full line voltage to the motor to start.This is also referred to as hard starting be-cause it causes high starting currents. Largermotors require reduced voltage or soft start-ing.

    ACRR See adjacent channel reuse ratio.

    ACSR aluminum cable, steel-reinforced.A kind of overhead electric power conduc-tor made up of a central stranded steel cableoverlaid with strands of aluminum.

    ACT See anticomet tail.

    action potential a propagating change inthe conductivity and potential across a nervecells membrane; a nerve impulse in commonparlance.

    activation function in an artificial neuralnetwork, a function that maps the net output

    c2000 by CRC Press LLC

  • of a neuron to a smaller set of values. Thisset is usually [0, 1]. Typical functions are thesigmoid function or singularity functions likethe step or ramp.

    active contour a deformable templatematching method that, by minimizing theenergy function associated with a specificmodel (i.e., a specific characterization of theshape of an object), deforms the model inconformation to salient image features.

    active device a device that can convertenergy from a DC bias source to a signal atan RF frequency. Active devices are requiredin oscillators and amplifiers.

    active filter (1) a filter that has an en-ergy gain greater than one, that is, a filter thatoutputs more energy than it absorbs.

    (2) a form of power electronic converterdesigned to effectively cancel harmonic cur-rents by injecting currents that are equal andopposite to, or 180 out of phase with, the tar-get harmonics. Active filters allow the out-put current to be controlled and provide sta-ble operation against AC source impedancevariations without interfering with the systemimpedance.

    The main type of active filter is the seriestype in which a voltage is added in series withan existing bus voltage. The other type is theparallel type in which a current is injectedinto the bus and cancels the line current har-monics.

    active impedance the impedance at theinput of a single antenna element of an ar-ray with all the other elements of the arrayexcited.

    active layer See active region.

    active learning a form of machine learn-ing where the learning system is able to in-teract with its environment so as to affect thegeneration of training data.

    active load a transistor connected so as toreplace a function that would conventionallybe performed by a passive component suchas a resistor, capacitor, or inductor.

    active load-pull measurement a mea-surement method where transfer characteris-tics of a device can be measured by electri-cally changing the load impedance seen fromthe device. In an active load-pull measure-ment, the load impedance is defined by usingan output signal from the device and an in-jected signal from the output of the device.

    active logic a digital logic that operatesall of the time in the active, dissipative regionof the electronic amplifiers from which it isconstructed. The output of such a gate isdetermined primarily by the gate and not bythe load.

    active magnetic bearing a magneticbearing that requires input energy for stablesupport during operation. Generally imple-mented with one or more electromagnets andcontrollers.

    active mixer a mixer that uses three termi-nal devices such as FET rather than diodes asnonlinear element. One advantage of activemixers is that they can provide conversiongain.

    active network an electrical networkthat contains some solid state devices such asbipolar junction transistors (BJTs) or metal-oxide-silicon field effect transistors (FETs)operating in their active region of the volt-age vs. current characteristic. To ensure thatthese devices are operating in the active re-gion, they must be supplied with proper DCbiasing.

    active neuron a neuron with a non-zerooutput. Most neurons have an activationthreshold. The output of such a neuron haszero output until this threshold is reached.

    active power See real power.

    c2000 by CRC Press LLC

  • active power line conditioner a devicewhich senses disturbances on a power lineand injects compensating voltages or currentsto restore the lines proper waveform.

    active RC filter an electronic circuitmade up of resistors, capacitors, and opera-tional amplifiers that provide well-controlledlinear frequency-dependent functions, e.g.,low-, high-, and bandpass filters.

    active redundancy a circuit redundancytechnique that assures fault-tolerance by de-tecting the existence of faults and performingsome action to remove the faulty hardware,e.g., by standby sparing.

    active region semiconductor materialdoped such that electrons and/or holes arefree to move when the material is biased. Inthe final fabricated device, the active regionsare usually confined to very small portions ofthe wafer material.

    active-high (1) a logic signal having itsasserted state as the logic ONE state.

    (2) a logic signal having the logic ONEstate as the higher voltage of the two states.

    active-low (1) a logic signal having itsasserted state as the logic ZERO state.

    (2) a logic signal having its logic ONEstate as the lower voltage of the two states;inverted logic.

    actuator (1) a transducer that convertselectrical, hydraulic, or pneumatic energy toeffective motion. For example in robots, ac-tuators set the manipulator in motion throughactuation of the joints. Industrial robotsare equipped with motors that are typicallyelectric, hydraulic, or pneumatic. See alsoindustrial robot.

    (2) in computers, a device, usually me-chanical in nature, that is controlled by acomputer, e.g., a printer paper mechanism ora disk drive head positioning mechanism.

    ACTV See advanced compatible tele-vision.

    acuity sharpness. The ability of the eyeto discern between two small objects closelyspaced, as on a display.

    adaptability the capability of a system tochange to suit the prevailing conditions, espe-cially by automatic adjustment of parametersthrough some initialization procedure or bytraining.

    adaptation layer control layer of a mul-tilayer controller, situated above the directcontrol layer and usually also above theoptimizing control layer, required to intro-duce changes into the decision mechanismsof the layer (or layers) below this adaptationlayer; for example adaptation layer of the in-dustrial controller may be responsible for ad-justing the model used by the optimizing con-trol and the decision rules used by the direct(regulation) control mechanisms.

    adapter a typical term from personalcomputers. A circuit board containing theinterface toward an additional peripheral de-vice. For example, a graphic adapter (inter-face boards like EGA, VGA, CGA), a gamecontroller, a SCSI controller, a PCMCI inter-face, etc.

    adaptive algorithm (1) a method for ad-justing the parameters of a filter to satisfy anobjective (e.g., minimize a cost function).

    (2) an algorithm whose properties are ad-justed continuously during execution withthe objective of optimizing some criterion.

    adaptive antenna antenna, or array ofantennas, whose performance characteristicscan be adapted by some means; e.g., thepattern of an array can be changed whenthe phasing of each of the array elements ischanged.

    adaptive array an array that adapts itselfto maximize the reception of a desired sig-

    c2000 by CRC Press LLC

  • nal and null all interfering or jamming sig-nals. This is achieved by finding the correctweights (input excitations) to the elementscomprising the array.

    adaptive coding a coding scheme thatadapts itself in some fashion to its input oroutput.

    adaptive coding of transform coefficientscoding technique that is carried out by

    threshold sampling and exploiting maskingeffects by variable quantization for differ-ent blocks. High detail blocks are codedwith more quantization error than low de-tail blocks. This is done to take into ac-count masking and boundary distortion ef-fects. Transform coding becomes more at-tractive compared with DPCM when adap-tive coding is used. The main drawback ofadaptive transform coding is its sensitivityto transmission bit errors due to synchro-nization problems at the decoder. See alsoDPCM.

    adaptive control a control methodologyin which control parameters are continuouslyand automatically adjusted in response tobe measured/estimated process variables toachieve near-optimum system performance.

    adaptive critic learning technique wherethe system learns to evaluate the actions of asystem (usually a controller) so as to providea reinforcement signal that is an estimate ofthe future value of the systems current ac-tion.

    adaptive differential pulse code modula-tion (ADPCM) a modulation scheme inwhich only the difference between successivesignal samples is encoded for transmission,and the quantization of the coding is adaptedto the characteristics of the signal source.

    adaptive filtering a filtering strategy inwhich filter coefficients or governing param-eters evolve over time according to some up-dating strategy to optimize some criterion.

    adaptive FIR filter a finite impulse re-sponse structure filter with adjustable coef-ficients. The adjustment is controlled by anadaptation algorithm such as the least meansquare (LMS) algorithm. They are usedextensively in adaptive echo cancellers andequalizers in communication systems.

    adaptive fuzzy system fuzzy inferencesystem that can be trained on a data setthrough the same learning techniques usedfor neural networks. Adaptive fuzzy systemsare able to incorporate domain knowledgeabout the target system given from humanexperts in the form of fuzzy rules and numer-ical data in the form of inputoutput data setsof the system to be modeled. See also neuralnetwork, fuzzy inference system.

    adaptive intrafield predictors a tech-nique used for picture signal prediction basedon local properties of the signal or side infor-mation if portions of local properties havenot been transmitted. Intrafield methods re-quire correlation with local information forprediction purposes.

    A common technique is to use a mea-sure of the directional correlation based onlocal pixels that have already been transmit-ted. A predictor is chosen from a set to giveminimum prediction error. For example, theprevious line or previous pixel can be usedfor prediction, and the switching can then bedone as follows:

    X = predictor for element X={A if B C < A BC otherwise

    An extension of this concept is called con-tour prediction where the direction of pixelAis determined by searching among E, B, C,or G.

    adaptive logic network tree-structurednetwork whose leaves are the inputs andwhose root is the output. The first hiddenlayer consists of linear threshold units and the

    c2000 by CRC Press LLC

  • remaining layers are elementary logic gates,usually AND and OR gates. Each linearthreshold unit is trained to fit input data inthose regions of the input space where it isactive (i.e., where it contributes to the overallnetwork function).

    adaptive manipulator controller a con-troller that uses an adaptation process which,based on observation of the manipulator po-sition and velocity, readjusts the parametersin the nonlinear model until the errors dis-appear. An adaptive manipulator controlleris depicted in the figure below. Such a sys-tem would learn its own dynamic properties.The adaptive manipulator control scheme

    Adaptive manipulator control scheme.

    presented in the figure belongs to the jointspace control schemes. See also joint spacecontrol.

    adaptive predictor a digital filter whosecoefficients can be varied, according to someerror minimization algorithm, such that it canpredict the value of a signal say N samplingtime intervals into the future. The adaptivepredictor is useful in many interference can-cellation applications.

    adaptive resonance theory (ART) networkA clustering network developed to allow thelearning of new information without destroy-ing what has already been learnt. Each clus-ter is represented by a prototype and learningis achieved by comparing a new input pat-tern with each prototype. If a prototype isfound that is acceptably close to that input,

    the new pattern is added to that prototypescluster and the prototype is adjusted so asto move closer to the new input. If no pro-totype is acceptable, the pattern becomes anew prototype around which a new clustermay develop.

    adaptive vector quantization term thatrefers to methods for vector quantization thatare designed to adaptively track changes inthe input signal.

    ADC See analog-to-digital converter.

    ADCPM See adaptive differential pulsecode modulation.

    add instruction a machine instructionthat causes two numeric operands to be addedtogether. The operands may be from machineregisters, memory, or from the instruction it-self, and the result may be placed in a ma-chine register or in memory.

    adder a logic circuit used for adding bi-nary numbers.

    additive acousto-optic processingacousto-optic signal processing where thesummation of acousto-optic modulated lightwaves is used to implement the signal pro-cessing operation.

    additive polarity polarity designation ofa transformer in which terminals of the samepolarity on the low- and high-voltage coilsare physically adjacent to each other on thetransformer casing. With additive polarity, ashort between two adjacent terminals resultsin the sum of the two coil voltages appearingbetween the remaining terminals. Additivepolarity is generally used for transformers upto 500kVA and 34.5kV. Larger units use sub-tractive polarity. See the diagram below. Seealso subtractive polarity.

    additive white Gaussian noise (AWGN)the simplest form of channel degradation ina communication system in which the source

    c2000 by CRC Press LLC

  • Transformer with additive polarity.

    of errors in the channel can be modeled asthe addition of random noise with a Gaus-sian distribution and a constant (white) powerspectrum. See also thermal noise.

    address a unique identifier for the placewhere information is stored (as opposed tothe contents actually stored there). Most stor-age devices may be regarded by the user as alinear array, such as bytes or words in RAMor sectors on a disk. The address is then justan ordinal number of the physical or logicalposition. In some disks, the address may becompound, consisting of the cylinder or trackand the sector within that cylinder.

    In more complex systems, the addressmay be a name that is more relevant to theuser but must be translated by the underlyingsoftware or hardware.

    address aliasing See cache aliasing.

    address bus the set of wires or trackson a backplane, printed circuit board, or in-tegrated circuit to carry binary address sig-nals between different parts of a computer.The number of bits of address bus (the widthof the bus) determines the maximum size ofmemory that can be addressed. Modern mi-crochips have 32 address lines, thus 4 giga-bytes of main memory can be accessed.

    address decoder logic that decodes anaddress.

    1. A partial decoder responds to a smallrange of addresses and is used when recog-nizing particular device addresses on an I/Oaddress bus, or when recognizing that ad-dresses belong to a particular memory mod-ule.

    2. A full decoder takes N bits and assertsone of 2N outputs, and is used within mem-ories (often within RAM chips themselves).

    address error an exception (error inter-rupt) caused by a programs attempt to accessunaligned words or long words on a proces-sor that does not accommodate such requests.The address error is detected within the CPU.This contrasts with problems that arise in ac-cessing the memory itself, where a logic cir-cuit external to the CPU itself must detect andsignal the error to cause the CPU to processthe exception. Such external problems arecalled bus errors.

    address field the portion of a programinstruction word that holds an address.

    address generation interlock (AGI) amechanism to stall the pipeline for one cyclewhen an address used in one machine cycleis being calculated or loaded in the previouscycle. Address generation interlocks causethe CPU to be delayed for a cycle. (AGIson the Pentium are even more important toremove, since two execution time slots arelost).

    address locking a mechanism to protecta specific memory address so that it can beaccessed exclusively by a single processor.

    address map a table that associates a baseaddress in main memory with an object (orpage) number.

    address mapping the translation of vir-tual address into real (i.e., physical) ad-dresses for memory access. See also virtualmemory.

    address register a register used primarilyto hold the address of a location in memory.The location can contain an operand or anexecutable instruction.

    address size prefix a part of a machineinstruction that provides information as to the

    c2000 by CRC Press LLC

  • length or size of the address fields in the in-struction.

    address space an area of memory seen orused by a program and generally managed asa continuous range of addresses. Many com-puters use separate address spaces for codeand data; some have other address spacesfor system. An address space is usually sub-ject to protection, with references to a spacechecked for valid addresses and access (suchas read only).

    The physical address space of a computer(232 bytes, and up to 264 bytes) is often largerthan the installed memory. Some parts of theaddress range (often at extreme addresses)may be reserved for inputoutput device ad-dresses. See also byte, memory, memorymapped I/O.

    address translation See address mapping.

    addressing (1) in processors: a mecha-nism to refer to a device or storage location byan identifying number, character, or group ofcharacters. That may contain a piece of dataor a program step.

    (2) in networks, the process of identify-ing a network component, for instance, theunique address of a node on a local area net-work.

    addressing fault an error that halts themapper when it cannot locate a referencedobject in main memory.

    addressing mode a form of specifyingthe address (location) of an operand in aninstruction. Some of the addressing modesfound in most processors are direct or registerdirect, where the operand is in a CPU register;register indirect (or simply indirect), wherea CPU register contains the address of theoperand in memory; immediate, where theoperand is a part of the instruction. See alsocentral processing unit.

    addressing range numbers that definethe number of memory locations addressableby the CPU. For a processor with one addressspace, the range is determined by the numberof signal lines on the address bus of the CPU.

    adequate service in terms of the block-ing probability, term associated with a fixedblocking. A typically quoted value may be2. See also blocking.

    adiabatic a system that has no heat trans-fer with the environment.

    adiabatic cooling a process where thetemperature of a system is reduced withoutany heat being exchanged between the sys-tem and its surroundings. In particle beamacceleration this term is used to describe theprocess in the particle source storage ringwhere beam emittances are reduced withoutaffecting beam energy.

    adiabatic following an approximationmade when some states in a quantum me-chanical system respond to perturbationsmore quickly than the other states. In thisapproximation the rapidly responding statesare assumed to depend only on the instanta-neous values of the other states and are saidto follow those states.

    adiabatic passage a technique for the cre-ation of a long-lived coherence in a quantummechanical system by manipulating electro-magnetic field intensities so that the systemalways remains in an eigenstate. In practice,this involves changing field strengths on atime scale slower than the inverse of the en-ergy spacing between relevant eigenstates ofthe system. For example, consider a lambdasystem in which only one field is present ini-tially and all population starts out in the un-coupled ground state. If a field is graduallyturned on to couple this initial state to the ex-cited state, the system can remain transparentby evolving in such a way that it is alwaysmathematically equivalent to the dark statethat would be produced by coherent popu-

    c2000 by CRC Press LLC

  • lation trapping. Adiabatic passage is oftenused for selective transfer of population be-tween two long-lived states of a multistatesystem, especially in cases where the two-step process of absorption followed by spon-taneous decay (optical pumping) would tendto populate many other states.

    adjacency graph a graph in which eachnode represents an object, component, or fea-ture in an image. An edge between two nodesindicates two components that are touchingor connected in the image.

    adjacent channel interference (ACI) theinterference caused by an adjacent frequencyband, e.g., in a system with frequency divi-sion duplex (FDD). Classified as either in-band or out-of-band adjacent channel inter-ference (ACI). The in-band ACI occurs whenthe center frequency of interfering signal fallswithin the band of the desired signal. Theout-of-band ACI occurs when the center fre-quency of interfering signal falls outside thebandwidth of the desired signal.

    adjacent channel leakage power Seeadjacent channel power.

    adjacent channel power (ACP) a powerof distortion components generated in adja-cent channel, which is caused by a nonlinear-ity of high-power amplifier amplifying a dig-itally modulated signal such as QPSK, QAM,etc. Adjacent channel power is defined as aratio of signal power in channel and leakagepower in adjacent channel.

    adjacent channel reuse ratio (ACRR)the reuse ratio between radio communicationcells using adjacent radio channels. See alsoreuse ratio.

    adjacent channels radio channels occu-pying radio frequency allocationsn andn1.

    adjoint network a network with an iden-tical structure to the original one, but withpossibly different elements. As an exam-

    ple, for a network described by the nodal ad-mittance matrix, its adjoint network is repre-sented by the transposed admittance matrixof the original network. The adjoint networkis a basic tool in the computer-aided sensi-tivity analysis of electronic and microwavecircuits.

    adjustable-speed drive See variablespeed DC drive, variable speed AC drive.

    admissible matrix a matrixM that canbe obtained by fixing the free parameters ofthe matrix M at some particular values. Mis said to be admissible with respect to M .

    admittance the reciprocal of theimpedance of an electric circuit.

    admittance inverter an idealized de-vice or set of matrix parameters that func-tions electrically like a quarter-wave losslesstransmission line of characteristic impedanceJ at each frequency, thus transforming theload admittance (YLOAD) by +90 degrees andmodifying the magnitude, resulting in an in-put admittance (Yin).

    Yin = J2

    Yload

    admittance matrix the inverse of theimpedance matrix in the method of moments.

    ADP See ammonium dihydrogen phosphate.

    ADPCM See adaptive differential pulsecode modulation.

    ADSL See asymmetric digital subscriberline.

    adsorbent the material of an adsorber,for example, silica gel, alumina, and char-coal. Adsorbent materials are characterizedby high surface to volume ratio.

    adsorber (1) condensation of a gas on thesolid material.

    c2000 by CRC Press LLC

  • (2) material that attracts and holds (by Vander Waal forces) molecular layers of densegases (i.e., very near condensation temper-atures) on porous high surface/volume ratiomaterials.

    ADTV See advanced digital television.

    advanced compatible television (ACTV)an extended definition television system thatcan operate with existing bandwidths on ex-isting receivers and is compatible with theNTSC broadcasting system. The ACTV sys-tem was proposed by the Advanced Televi-sion Research Consortium and was the firsthigh definition television (HDTV) system.HDTV system was tested by the FCC July17, 1992. The additional picture informationneeded to increase the picture width and toincrease the resolution to the HDTV formatis transmitted in an augmented channel asan alternative to simulcast transmission. SeeAdvanced Television Research Consortium.

    advanced digital television (ADTV)a high definition television (HDTV) digitaltransmission television system was proposedto the Federal Communications Commissionby the Advanced Television Research Con-sortium. The ADTV system introduced alayered system to separately describe the dig-ital transmission system, the video compres-sion system, and the data packet transportsystem. The video compression method usesa MPEG++ standard that provides for com-patibility with multimedia computing. SeeAdvanced Television Research Consortium.

    advanced mobile phone system (AMPS)a standard for a cellular radio communi-

    cations network originally developed in the1970s by AT&T and later adopted as an in-dustry standard by the U.S.-based Telecom-munications Industries Association (TIA). Itis the first cellular standard widely deployedin North America. It is also referred to as theanalog cellular system. Frequency modula-tion with 30 kHz channels is used.

    Advanced Television Research Consor-tium an organization consisting of DavidSarnoff Research Center, Thompson Con-sumer Electronics, North American PhilipsCorporation, NBC, and Compression Labo-ratories.

    aeolian vibration a high-frequency me-chanical vibration of electric power linescaused by wind.

    aerial cable any fully-insulated electricpower cable which is carried overhead uponpoles, as opposed to the use of the more usualoverhead bare conductors.

    aerodynamic head See disk head.

    AFC See automatic frequency control.

    affine transform a geometric imagetransformation including one or more transla-tions, rotations, scales, and shears that is rep-resented by a 4 4 matrix allowing multiplegeometric transformations in one transformstep. Affine transformations are purely lin-ear and do not include perspective or warpingtransformations.

    AFM See atomic force microscope.

    AFT See automatic fine tuning.

    AFV See audio follow-video switcher.

    AGC See automatic gain control orautomatic generation control.

    agent a computational entity that actson behalf of other entities in an autonomousfashion.

    agent-based system an applicationwhose component are agents. See alsoagent.

    aggregation an operation performed onsystem variables whose purpose is to collectthem in a way enabling order and/or uncer-tainty reduction. For linear systems both

    c2000 by CRC Press LLC

  • continuous-time and discrete-time state ag-gregation is obtained by linear transforma-tion of the original state represented by anaggregation matrix G endowed with the fol-lowing properties:

    GA = AG;GB = B;CG = C;

    where A,B,C are original system matrices(respectively state, input, and output ones)and A, B, C are aggregated system ma-trices. The aggregation is an eigenvalues-preservation approach and it provides orderreduction by neglecting some of the systemmodes.

    For uncertainties, the aggregation definessome deterministic measures for a set of un-certain variables. For stochastic model ofuncertainty the aggregation may be given bymean value, higher stochastic models or otherstatistical characteristics, while set member-ship uncertainties could be aggregated bytheir maximal or minimal values, mass centerof the set or higher inertial moments.

    AGI See address generation interlock.

    Aiken, Howard Hathaway (19001973)Born: Hoboken, New Jersey, U.S.A.

    Aiken is best known as the inventor ofthe Mark I and Mark II computers. Whilenot commercially successful, these machineswere significant in the development of themodern computer. The Mark I was essen-tially a mechanical computer. The Mark IIwas an electronic computer. Unlike UNI-VAC ( See Eckert, John Presper) these ma-chines had a stored memory. Aiken was aprofessor of mathematics at Harvard. He wasgiven the assignment to develop these com-puters by the Navy department. Among hiscolleagues in this project were three IBM sci-entists and Grace Hopper. It was while work-ing on the Mark I that Grace Hopper pulledthe first bug from a computer.

    air bridge a bridge made of metal stripsuspended in air that can connect componentson an integrated circuit in such a way as to

    cross over another strip. Air bridges are alsoused to suspend metalization in spiral induc-tors off of the semi-conducting substrate in away that can lead to improved performancein some cases.

    air capacitor a fixed or variable capacitorin which air is the dielectric material betweenthe capacitors plates.

    air circuit breaker a power circuitbreaker where the power contacts operate inair. Some versions employ an air blast toextend and clear the arc on contact opening,while others employ arc chutes with mag-netic or thermal assists.

    air core transformer two or more coilsplaced so that they are linked by the same fluxwith an air core. With an air core the flux isnot confined.

    air gap See magnetic recording air gap.

    air ionization chamber a device used tomonitor neutron flux.

    air line a coaxial transmission line inwhich the volume between the inner andouter conductors are air-filled.

    air terminal a lightning rod; any devicewhich extends upward into the air from astructure for purposes of lightning protection.

    air-blast circuit breaker a circuit breakerin which the arc which forms between thecontacts on opening is extinguished with ablast of high-pressure air.

    air-gap line the line that is obtained bycontinuing the linear portion of the saturationcurve of a synchronous machine or a DC ma-chine. The figure shows a plot of generatedvoltage vs. field current at constant machinespeed. Initially, an increase in field currentyields a linear increase in the generated volt-age, but as the iron becomes saturated, thevoltage rolls off. The air-gap line gives the

    c2000 by CRC Press LLC

  • Plot of generated voltage vs. field current at con-

    stant machine speed.

    voltage that would be obtained without satu-ration.

    air-gap voltage the internal voltage of asynchronous machine that is generated by theair gap flux. Also referred to as the voltagebehind leakage reactance.

    airline a precision coaxial transmissionline with air dielectric used in a variety ofcalibration techniques and measurements asan impedance standard and to establish a ref-erence plane.

    airy disk the central portion of the far-field optical diffraction pattern.

    AlAs aluminum arsenide.

    albedo the ratio between the total scat-tered intensity and the whole extracted fromthe incident light by scattering and absorp-tion.

    ALC See automatic level control.

    AlGaAs symbol for aluminum galliumarsenide.

    algebraic reconstruction the process ofreconstructing an image x from a noise-corrupted and blurred image y. An arbitraryimage is selected as the initial condition of

    an iterative algorithm for solving a set of lin-ear equations. A set of linear constraints isspecified. In each iteration one constraint isapplied to a linear equation. The constraintsare repeated in a cyclic fashion until conver-gence is reached. The linear constraints arevectors in a vector space with specified basisimages for the type of problem to be solved.

    algorithm (1) a systematic and precise,step-by-step procedure (such as a recipe, aprogram, or set of programs) for solving acertain kind of problem or accomplishing atask, for instance converting a particular kindof input data to a particular kind of outputdata, or controlling a machine tool. An algo-rithm can be executed by a machine.

    (2) in image processing, algorithms can beeither sequential, parallel, or ordered. In se-quential algorithms, pixels are scanned andprocessed in a particular raster-scan order.As a given pixel is processed, all previouslyscanned pixels have updated (processed) val-ues, while all pixels not yet scanned have old(unprocessed) values. The algorithms resultwill in general depend on the order of scan-ning.

    In a parallel algorithm, each pixel is pro-cessed independently of any changes in theothers, and its new value is written in a newimage, such that the algorithms result doesnot depend on the order of pixel processing.

    In an ordered algorithm, pixels are put inan ordered queue, where priority depends onsome value attached to each pixel. At eachtime step, the first pixel in the queue is takenout of it and processed, leading to a possi-ble modification of priority of pixels in thequeue. By default, an algorithm is usuallyconsidered as parallel, unless stated other-wise.

    algorithmic state machine (ASM) a se-quential logic circuit whose design is directlyspecified by the algorithm for the task the ma-chine is to accomplish.

    c2000 by CRC Press LLC

  • aliasing (1) in signal processing, distor-tion introduced in a digital signal when it isundersampled.

    In all digital systems the signals should befiltered before they are sampled to eliminatesignal components with frequencies abovethe Nyquist frequency,

    N = s/2 = /T ,

    where T is a sampling time, are eliminated.If this filtering is not done, signal componentswith frequencies

    > N

    will appear as low-frequency componentswith the frequency

    a = |(( + N) mod s) N |

    The prefilters introduced before a sampler arecalled anti-aliasing filters (common choicesare second- or fourth-order Butterworth, in-tegral time absolute error (ITAE), or Besselfilters).

    (2) in computer graphics, distortion dueto the discrete nature of digital images thatcauses straight lines to appear jagged.

    (3) in computer software, a single objecthaving two different identities, such as namesin memory space. Aliasing can make it diffi-cult to determine whether two names (or ac-cess paths to reach an object) that appear to bedifferent really access the identical object; asystem designed to find parallelism when twoaccesses really reach different objects willhave trouble achieving correct (functional)operation if aliasing is present.

    alignment (1) the requirement that a da-tum (or block of data) be mapped at an ad-dress with certain characteristics, usually thatthe address modulo the size of the datum orblock be zero. For example, the address of anaturally aligned long word is a multiple offour.

    (2) the act of positioning the image of aspecific point on a photomask to a specificpoint on the wafer to be printed.

    (3) the process of determining the time orphase shift of a certain signal so that part ofit may be matched with another signal. Seealso image registration.

    all-digital synchronization synchroniza-tion algorithm, where the analog-to-digitalconversion takes place as early as possible toassist digital implementation of the synchro-nizer. In most cases, an all-digital synchro-nization approach leads to optimal maximumlikelihood algorithms.

    all-optical network an optical communi-cations network where the role of electronicsis reduced to basic supervisory and controlfunctions. All-optical devices are used ex-clusively between the nodes to re-configurethe network which enables the greatest use offiber bandwidth.

    all-optical switch an optically addresseddevice whose optical transmission can beswitched between two possible states bychanges in the incident optical power.

    all-pass system a system with unit mag-nitude and poles and zeroes that are complexconjugate reciprocals of each other. An all-pass system with a pole at z = a and a zeroat z = 1

    a is

    Hap(z) = z1 a

    1 az1 .

    alley arm a crossarm meant for use inan alleyway or other confined area in whichpoles must be placed close to buildings. Seecrossarm.

    allocate to create a block of storage of agiven size in some memory, which is not tobe used for any other purpose until expresslyfreed.

    allocation the act of allocating. See alsoallocate.

    c2000 by CRC Press LLC

  • allocation of authority process by whichthe authority (scope of competence) is allo-cated to various decision units; this allocationmay result form the natural reasons or be aproduct of system partitioning.

    almost sure convergence for a stochas-tic process, the property of the sample valuesconverging to a random variable with proba-bility one (for almost all sample paths).

    alnico a permanent magnet material con-sisting mainly of aluminum, nickel, cobalt,and iron, which has a relatively low-energyproduct and high residual flux density. Analnico is most suitable for high-temperatureapplications.

    ALOHA a random access, multiple ac-cess protocol, originally developed by Nor-man Abramson at the University of Hawaii in1970. A given user transmits a message whenthe message is generated without regard forcoordination with the other users sharing thechannel. Messages involved in collisions areretransmitted according to some retransmis-sion algorithm. Literally, aloha is a greet-ing in the Hawaiian native language.

    alpha channel a grayscale image associ-ated with the color channels of an image thatdictates the opacity/transparency of the cor-responding color channel pixels. If the colorchannels are multiplied by the alpha chan-nel when stored, the image is referred to aspremultiplied; otherwise, it is known as un-premultiplied.

    alpha particle a subatomic particleemitted by ceramic packaging materials thatcauses soft errors in memory integrated cir-cuits.

    alpha particle noise this type of noiseoccurs exclusively in small semiconductorcapacitors, when an energetic alpha particle,either from cosmic rays or from the packag-ing or substrate itself, traverses the capaci-tor, discharging it, thereby creating an error

    in the stored charge. Such an accumulationof errors in a digital system has the effect ofcreating a noise signal.

    alpha-cut the set of all crisp, or nonfuzzy,elements whose membership function inA isgreater than or equal to a given value, .

    alphanumeric mode relates to alpha-betic characters, digits, and other characterssuch as punctuation marks. Alphanumericis a mode of operation of a graphic terminalor other input/output device. The graphicsterminal should toggle between graphic andalphanumeric data.

    alternate channel power a measure ofthe linearity of a digitally modulated system.The amount of energy from a digitally trans-mitted RF signal that is transferred from theintended channel to one which is two chan-nels away. It is the ratio (in decibels) of thepower measured in the alternate channel tothe total transmitted power.

    alternating current (AC) a periodic cur-rent the average value of which over a periodis zero.

    alternating current machine an electro-mechanical system that either converts alter-nating current electrical power into mechan-ical power (AC motor), or converts mechan-ical power into alternating current electricalpower (AC generator, or alternator). SomeAC machines are designed to perform eitherof these functions, depending on the energysource to the dynamo.

    alternator-rectifier exciter a source offield current of a synchronous machine de-rived from the rectified output voltage of analternator. The components of the exciterconsist of the alternator and the power rec-tifier (including possible gate circuitry), ex-clusive of all input control elements. Therectifier circuits may be stationary, or rotatewith the alternator, which may be driven by

    c2000 by CRC Press LLC

  • a motor, prime mover, or by the shaft of thesynchronous machine.

    ALU See arithmetic and logic unit.

    AM See amplitude modulation.

    AM to PM conversion phase variationsof an output signal, due to passing through anactive device, where the phase of the outputsignal varies in response with the amplitudeof the input signal.

    AM video the amplitude modulated videocarrier wave is produced by an amplitudemodulated video transmitter where the am-plitude of the wave form varies in step withthe video signal similar to that shown in thefigure.

    amateur radio The practice and study ofelectronic communications as an avocation;most often referring to those persons possess-ing a license earned by examination (in theU.S., the Federal Communications Commis-sion grants such licenses).

    ambient field the background magneticfield level existing in the environment, with-out contribution from specific magnetic fieldsources.

    ambient temperature the temperatureof the air or liquid surrounding any electricalpart or device. Usually refers to the effectof such temperature in aiding or retarding re-moval of heat by radiation and convectionfrom the part or device in question.

    ambiguity in artificial intelligence, thepresence of more than one meaning or possi-bility.

    Amdahls law states that the speedupfactor of a multiprocessor system is given by

    S(n) = n1 + (n 1)f

    where there arenprocessors andf is the frac-tion of computational that must be performedsequentially (by one processor alone). Theremaining part of the computation is assumedto be divided into n equal parts each executedby a separate processor but simultaneously.The speedup factor tends to 1/f as n ,which demonstrates that under the assump-tions given, the maximum speedup is con-strained by the serial fraction.

    American National Standards Institute(ANSI) The U.S. organization that rec-ommends standards for metrology, drawingsymbology and numerous other facets forproducts and industries.

    c2000 by CRC Press LLC

  • American standard code for informationinterchange (ASCII) a binary code com-prised of seven digits, originally used totransmit telegraph signal information.

    ammeter an instrument for measuringelectric current in amperes.

    ammonia maser first maser, invented byCharles H. Townes. Such a maser operatesat microwave frequencies.

    ammonium dihydrogen phosphate (ADP)a strong linear electro-optic material. Its

    chemical formula is NH4H2PO4. See alsopotassium dihydrogen phosphate (KDP).

    amorphous alloy a ferromagnetic mate-rial with very low coercive force (i.e., a nar-row hysteresis loop). The material is formedas a very thin ribbon, by freezing the moltingalloy before it can crystallize, thus providinga random molecular orientation.

    amortisseur winding See damperwinding.

    ampacity the maximum current whichcan be safely carried by a conductor underspecified conditions.

    ampere interrupting rating the inter-rupting rating of a device expressed in amps(often rms symmetrical amps). See alsoMVA interrupting rating.

    Amperes Law a fundamental rela-tionship in electromagnetic theory. In afairly general form it is expressed by one ofMaxwells equations,

    H(r, t) = D(r, t)t

    + J(r, t)where t is the time, r is the coordinate vector,and the other vectors are defined as D(r, t)electric displacement; H(r, t), magnetic fieldstrength; J(r, t), electric current density.

    Ampere, Andre Marie (17751836)Born: Lyon, France

    Ampere is best known for his pioneeringwork in the field of Electrodynamics. Duringhis emotionally troubled life, he held severalprofessorships: at Bourg, Lyon, and at theEcole Polytechnic in Paris. While Ampereworked in several sciences, the work of theDanish physicist Hans Christian Oerstad onthe electric deflection of a compass needle, asdemonstrated to him by Dominique Arago,caused Amperes great interest in electro-magnetism. His seminal work, Notes on theTheory of Electrodynamic Phenomena De-duced Solely from Experiment, establishedthe mathematical formulations for electro-magnetics including what is now known asAmperes Law. It can be said that Amperefounded the field of electromagnetics. He ishonored for this by the naming of the unit ofelectric current as the ampere.

    amperometric sensor an electrochem-ical sensor that determines the amount ofa substance by means of an oxidationreduction reaction involving that substance.Electrons are transferred as a part of the re-action, so that the electrical current throughthe sensor is related to the amount of the sub-stance seen by the sensor.

    amplidyne a special generator that actslike a DC power amplifier by using com-pensation coils and a short circuit across itsbrushes to precisely and fastly control highpowers with low level control signals.

    amplified spontaneous emission sponta-neous emission that has been enhanced in am-plitude and perhaps modified in spectrum bypropagation through an amplifying medium,usually the medium in which it was first gen-erated.

    amplifier a circuit element that has alinear input-output signal relationship, withgain in voltage, current, and/or power. Seealso balanced amplifier, feedback amplifier,feedforward amplifier, laser amplifier, maseramplifier, optical amplifier, single-endedamplifier.

    c2000 by CRC Press LLC

  • ASCII Code ChartHex Char Hex Char Hex Char Hex Char

    00 nul 20 sp 40 @ 60 01 soh 21 ! 41 A 61 a02 stx 22 " 42 B 62 a03 etx 23 # 43 C 63 c04 eot 24 $ 44 D 64 d05 enq 25 % 45 E 65 e06 ack 26 & 46 F 66 f07 bel 27 47 G 67 g08 bs 28 ( 48 H 68 h09 ht 29 ) 49 I 69 i0A lf 2A * 4A J 6A j0B vt 2B 4B K 6B k0C ff 2C , 4C L 6C l0D cr 2D - 4D M 6D m0E so 2E . 4E N 6E n0F si 2F / 4F O 6F o10 dle 30 0 50 P 70 p11 dc1 31 1 51 Q 71 q12 dc2 32 2 52 R 72 r13 dc3 33 3 53 S 73 s14 dc4 34 4 54 T 74 t15 nak 35 5 55 U 75 u16 syn 36 6 56 V 76 v17 etb 37 7 57 W 77 w18 can 38 8 58 X 78 x19 em 39 9 59 Y 79 y1A sub 3A : 5A Z 7A z1B esc 3B ; 5B [ 7B {1C fs 3C < 5C \ 7C |1D gs 3D = 5D ] 7D }1E rs 3E > 5E 7E 1F us 3F ? 5F _ 7F

    c2000 by CRC Press LLC

  • amplitron a classic crossed-field am-plifier in which output current is obtainedprimarily by secondary emission from thenegative electrode that serves as a cathodethroughout all or most of the interactionspace.

    amplitude descriptor of the strength of awave disturbance such as an electromagneticor acoustic wave.

    amplitude equations a form of theSchrodinger equation that describes the evo-lution of a quantum mechanical system interms of only the coefficients of the preferredbasis states. These coefficients are knownas quantum mechanical amplitudes and con-tain both magnitude and phase information.Amplitude equations are often used to gainphysical insight into interactions of quantumsystems with electromagnetic fields. See alsoSchrodinger wave equation (SWE).

    amplitude linearity qualitative measureof the extent to which the output ampli-tude of a device is a faithful reproduction ofits input, with no new frequency harmonicsadded. A perfectly linear device would out-put a scaled version of its input, where theshape of the input waveform has been un-altered (i.e., there is no distortion of the in-put waveform). Viewed in the frequency do-main, the output signal would contain onlythose spectral components found in the in-put signal, and each frequency line would bescaled by the same amount (i.e., by the gainof the device).

    amplitude modulation (AM) the processof modulating a signal x(t) by a carrier wavec(t) for transmission:

    y(t) = c(t)x(t),

    where y(t) is the signal to be transmitted.c(t) is either a complex exponential of theform

    c(t) = ej (ct+c)

    or a sinusoidal signal of the form

    c(t) = cos(ct + c).c is referred to as the carrier frequency. AMhas the effect of shifting the frequency spec-trum ofx(t)byc. The signal is recovered byshifting the spectrum of x(t) back to its orig-inal form. See also frequency modulation.

    amplitude response the magnitude of thesteady-state response of a fixed, linear systemto a unit-amplitude input sinusoid.

    amplitude spectrum the magnitude ofthe Fourier transform |F()|, < < of a signal f (t). For example, the ampli-tude spectrum of a rectangular pulse of unitwidth is given in the following figure: Seealso Fourier transform.

    Amplitude spectrum.

    amplitude stabilization circuit a circuitused to obtain a precise oscillation amplitudeof oscillators. These circuits are used in in-strumentation when it is required to increasethe purity of output signal and reduce the fre-quency depression (especially in Meachem-bridge oscillator with crystal) of the main har-monic by higher harmonics (van der Pol ef-fect). Three types of circuits are used:

    1. An element of large inertia (tungstenlamp, thermistor) is included in the circuit ata point where it can change the magnitude offeedback, but not affect the frequency.

    c2000 by CRC Press LLC

  • 2. A controlled resistor (usually an FEToperating in a triode regime) that is also partof the feedback circuit (the DC control signalis obtained with a rectifier and a filter of largetime constant).

    3. An automatic gain control circuit wherethe DC control signal obtained from a recti-fier and filter is used to change the bias ofoscillator active element.

    amplitude-modulated link a transmitterreceiver system that utilizes amplitude-modulation for the transmission of signal fre-quencies.

    amplitude-shift keying (ASK) a mod-ulation technique in which each group ofsource bits determines the amplitude of themodulated carrier.

    AMPS See advanced mobile phonesystem.

    AMR See automated meter reading.

    analog See analog signal, analog data.

    analog data data represented in a contin-uous form with respect to continuous time,as contrasted with digital data represented ina discrete (discontinuous) form in a sequenceof time instant.

    analog multiplier a device or a circuitthat generates an analog output signal that isproportional to the product or multiplicationof two analog input signals.

    analog optical computing optical com-puting that involves two-dimensional analogoperations such as correlation and complexspatial frequency filtering primarily basedon the property of lens to perform two-dimensional Fourier transform. In analogoptical computing, operations to be per-formed are matched with and based on al-ready known optical phenomena.

    analog signal a signal represented in acontinuous form with respect to continuoustime, as contrasted with digital signal repre-sented in a discrete (discontinuous) form ina sequence of time instant. See also analogdata.

    analog signal conditioning an interfacebetween the sensor or transducer output,which represents an analog or physical world,and the analog-to-digital converter.

    analog-to-digital (A/D) conversion amethod by which a continuously varying sig-nal (voltage) is sampled at regularly occur-ring intervals. Each sample is quantized to adiscrete value by comparisons to preestab-lished reference levels. These quantizedsamples are then formatted to the requireddigital output (e.g., binary pulse code words).The A/D converter is clocked to provideupdated outputs at regular intervals. In ordernot to lose any baseband information, sam-pling must occur at a rate higher than twicethe highest incoming signal frequency com-ponent. See also Nyquist rate.

    analog-to-digital (A/D) converter a de-vice that changes an analog signal to a digitalsignal of corresponding magnitude. This de-vice is also called an encoder, ADC, or A/Cconverter.

    analysis-by-synthesis coding refers tothe class of source coding algorithms wherethe coding is based on parametric synthetiza-tion of the source signal at the encoder. Thesynthesized signal is analyzed, and the pa-rameters that give the best result are cho-sen and then transmitted (in coded form).Based on the received parameters the signalis resynthesized at the receiver.

    analysis filter a filter in the analysis sec-tion of a sub-band analysis and synthesis sys-tem.

    c2000 by CRC Press LLC

  • analyte the substance being measured bya chemical or bioanalytical sensor and instru-mentation system.

    analytic signal refers to a signal that has aFourier transform that is zero valued for neg-ative frequencies; i.e., the signal has a one-sided spectrum.

    analytical Jacobian a mathematical rep-resentation computed via differentiation ofthe direct kinematic equation with respect tothe joint variables q. Formally one can write

    x =[

    p

    ]=[J(q)

    Jp(q)

    ]q = JA(q)q where the

    analytical Jacobian is JA(q) = k(q)q . Seeexternal spacefor notation used in these equa-tions. The analytical Jacobian is differentfrom the geometric Jacobian, since the end-effector angular velocity with respect to thebase frame is not given by . Both Jacobiansare related as J = TA()JA where TA() isa matrix that depends on the particular repre-sentation of the orientation representation. Inparticular TA() is an identity matrix whenequivalent axis of rotation in the task spaceis the same as the equivalent axis of rota-tion of the end-effector. See also geometricJacobian.

    anamorphic lenses a lens system havinga difference in optical magnification alongthe two mutually perpendicular axes (ver-tical plane or tilt vs. horizontal plane orpanorama).

    and See AND.

    AND the Boolean operator that imple-ments the conjunction of two predicates. Thetruth table for X and Y is

    X Y X YF F F

    F T F

    T F F

    T T T

    n-ary ands can be obtained as conjunction ofbinary ands.

    AND gate a device that implements theBoolean AND operation. See AND.

    angle diversity a diversity technique usedin radio communications based on receivinga signal over multiple arrival angles. Thesignal components are typically affected byuncorrelated fading processes and are com-bined in the receiver to improve performance.The main combining methods are selectiondiversity, equal gain combining, and maxi-mal ratio combining.

    angle modulation a type of modulationwhere either the frequency (FM) or the phase(PM) of a carrier are varied.

    angle of arrival (AOA) the direction toa source emitting a signal impinging on asensor array. Also called direction of arrival(DOA).

    angstrom popular unit not officially rec-ognized as part of the SI unit system. Equalto 1010 meters. Abbreviated _A. Named af-ter Anders _Angstrom (18141874).

    angular alignment loss the optical powerloss in an optical connection between two op-tical fibers, between an optical source and afiber, or between an optical fiber and a detec-tor caused by the angular misalignment of theaxes of the source and fiber, the two fibers,or the fiber and detector.

    angular frequency the rate of change ofthe phase of a wave in radians per second.

    anisotropic direction-dependent.

    anisotropic diffraction diffraction whenthe refractive indices for the incident anddiffracted optical waves are different.

    anisotropic diffusion a process of pro-gressive image smoothing as a function of atime variable t , such that the degree and ori-entation of smoothing at a point varies ac-cording to certain parameters measured at

    c2000 by CRC Press LLC

  • that point (e.g., gray-level gradient, curva-ture, etc.) in order to smooth image noisewhile preserving crisp edges. The progres-sively smoothed image I (x, y, t) (where x, yare spatial coordinates and t is time) satisfiesthe differential equation

    I/t = div(cI ),where the diffusion factor c is a decreasingfunction of the spatial gradient I . When cis constant, this reduces to the heat diffusionequation

    I/t = c1I.Other mathematical formulations have beengiven, where edge-preserving smoothing isrealized by a selective diffusion in the di-rection perpendicular to the gradient. Seealso multiresolution analysis, mathematicalmorphology.

    anisotropic etch an etch with an etchrate that is direction-dependent. In wet etch-ing, the direction dependence has to do withcrystallographic axis some planes etch atdifferent rates than others.

    anisotropic medium (1) a medium inwhich the index of refraction varies withthe light propagation direction within themedium. In such a medium, the constitutiverelation involves a tensor.

    (2) a medium that exhibits anisotropy. Ex-amples are anisotropic crystals, ferrites inthe presence of a static magnetic field, andplasma in the presence of a static magneticfield.

    anisotropic scatterer inhomogeneousmedium, usually consisting of suspension ofanisotropic molecules, capable of producingeffects like birefringence or dichroism. Assuch, its dielectric permittivity is a tensor act-ing differently upon each component of theelectromagnetic field.

    anisotropy (1) the degree of variation ina property such as index of refraction withlight propagation direction.

    (2) dependence of the response of amedium on the direction of the fields, for ex-ample, the x component of the electric dis-placement might depend in part on they com-ponent of the fields.

    annealing a process often used in semi-conductor processing to cause a change inmaterials or device properties to improve thecircuit performance and/or reliability. Seealso simulated annealing.

    annealing schedule specifies the se-quence of temperature values that are to beused in an application of simulated anneal-ing and also specifies t