Experimental testing of tribochemical model for...

35
University of California • Berkeley San Diego • Los Angeles Experimental testing of tribochemical model for copper CMP – the unexpected role of non-oxidative material removal Seungchoun Choi* and Fiona M. Doyle University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building # 1760 Berkeley, CA 94720-1760 [email protected] *Department of Mechanical Engineering IMPACT Seminar February 9, 2011 February 9, 2011 February 9, 2011 February 9, 2011

Transcript of Experimental testing of tribochemical model for...

University of California • Berkeley • San Diego • Los Angeles

Experimental testing of tribochemical model for copper CMP – the unexpected

role of non -oxidative material removalSeungchoun Choi* and Fiona M. Doyle

University of California at BerkeleyDepartment of Materials Science and Engineering

210 Hearst Mining Building # 1760Berkeley, CA 94720-1760

[email protected]*Department of Mechanical Engineering

IMPACT

Seminar

February 9, 2011February 9, 2011February 9, 2011February 9, 2011

IMPACT • CMP • 2

Outline

� Background

� Review of tribochemical model for CMP

� Pattern-defined pads to test tribochemical model

� Potential-step electrochemical tests with conventio nal and pattern-defined pads

� Electrochemical tests with conventional pads with h ydrogen peroxide

� CMP tests with conventional pads with hydrogen pero xide

� Conclusions

February 9, 2011

University of California • Berkeley • San Diego • Los Angeles

Background

IMPACT • CMP • 4

CMP Overview

ALUMINA PARTICLESaverage size ~ 120 nm

from EKC Tech.

Cross-sectional View ofSUBA 500 Pad, Rodel

Corp. (courtesy Y.Moon)

SLURRY • Abrasive particles• Oxidizer•Complexing agent•InhibitorWafer

Carrier

Slurryfeeder

Polishing Plate

POLISHING PAD

Pressure

Rotation

Polishing padPad

asperities

Patterned wafer

•With typical pads and rotational speeds, a pad asperity interacts with a given point on the surface about every 0.1 – 1 millisecond

•Most models are empirical, with no systematic basis for modification when operational parameters change

IMPACT • CMP • 5February 9, 2011

Kaufman’s Model for PlanarizationFor effective planarization, must maintain higher removal at protruding regions and lower removal

at recessed regions on the wafer

1- removal of passivatingfilm by mechanical action

at protruding areas

3- planarization by repetitivecycles of (1) and (2)

Metal Passivatingfilm

2- wet etch of unprotected metal by chemical action.passivating film reforms

For metal CMP, passive films, or corrosion inhibito rs, are essential for attaining planarization

Mechanical and chemical mechanisms interact synergistically

IMPACT • CMP • 6

Copper

Passive film

Pad asperity

AbrasiveAbrasive

Copper CMP: tribochemical phenomena at abrasive scale

2. Mechanical response of passive films

1. Passivation kinetics : the transient oxidation rate of copper after removal of passive film

3. Abrasive-copper interaction frequency & force

All three components need to be individually estima ted for modeling

February 9, 2011

IMPACT • CMP • 7February 9, 2011

Original Material Removal Model*O

xida

tion

rate

mA

/cm

2 Bare copper

Time (t’) msCopper: transient

passivation behavior i(t’)

Pas

sive

Film

Thi

ckne

ss (

L) (

nm)

1. Passivation kinetics– Film growth kinetics

Interval between two abrasive-

copper contacts (τ)

Time (ms)

For

ce (

nN)

Force on an abrasive, nN

Film

thic

knes

s re

mov

ed, ∆

L Å

t0

τ

∫ +=τ

τρ 0

0 )( dtttinF

MRR Cu

Removal Rate (nm/s)

τ

MCu : Atomic mass of copperρ : density of coppern : # e- transferredF : Faraday’s constant*Tripathi, Doyle & Dornfeld, "Tribo-Chemical Modeling of Copper

CMP" 2006 Proceedings of VLSI Multilevel Interconnection Conf.

3. Abrasive-copper interaction force & frequency

2. Mechanical removal response of passive film

LtLtL ∆=−+ )()( 00 τt0

t0 can be found given L(t’) (fig 1.), ∆L (fig 2.) & τ (fig 3.)

(since L(t’) is concave)

IMPACT • CMP • 8February 9, 2011

Potential-pH diagram for copper-water-glycine syste m at 25ºC and 1 atm., 0.01M glycine, 10 -5M Cu++ [from Aksu]

Acidic slurries need an inhibitor –BTA very common

Neutral slurries actually develop alkaline conditions at surface where peroxide is being reduced

IMPACT • CMP • 9February 9, 2011

Current decay at microelectrode after stepping pote ntial from -1.2V to different potentials, copper in pH 4 aqueous solution contain ing 0.01M glycine and 0.01M BTA

• Current decay has a very consistent shape throughout• Decay rate of 0.5 orders per time decade – precisely (Cottrell behavior)• Current decays similarly for ‘cathodic’ potential also (below -0.1V)• There’s no capacitive charging: RC = 0.2ms Change in behavior

at 1 s appears to correspond to formation of a monolayer of chemisorbed BTABTA must be the

species responsible for the decreasing current

IMPACT • CMP • 10February 9, 2011

Return to Original Material Removal Model*O

xida

tion

rate

mA

/cm

2 Bare copper

Time (t’) msCopper: transient

passivation behavior i(t’)

Pas

sive

Film

Thi

ckne

ss (

L) (

nm)

1. Passivation kinetics– Film growth kinetics

Interval between two abrasive-

copper contacts (τ)

Time (ms)

For

ce (

nN)

Force on an abrasive, nN

Film

thic

knes

s re

mov

ed, ∆

L Å

t0

τ

∫ +=τ

τρ 0

0 )( dtttinF

MRR Cu

Removal Rate (nm/s)

τ

MCu : Atomic mass of copperρ : density of coppern : # e- transferredF : Faraday’s constant*Tripathi, Doyle & Dornfeld, "Tribo-Chemical Modeling of Copper

CMP" 2006 Proceedings of VLSI Multilevel Interconnection Conf.

3. Abrasive-copper interaction force & frequency

2. Mechanical removal response of passive film

LtLtL ∆=−+ )()( 00 τt0

t0 can be found given L(t’) (fig 1.), ∆L (fig 2.) & τ (fig 3.)

(since L(t’) is concave)

IMPACT • CMP • 11

• At times below a second or so, there isn’t a cohere nt film to undergo mechanical damage

• Typical copper removal rates during CMP are in the range of 50 to 600 nm/min.

• For intervals between two asperity copper contacts of 0.1 to 1ms, this corresponds to removal of a copper layer of 0.01 to 0.1Å thick per interaction

• Due to both dissolution between the two interaction s and removal of oxidized copper film by the interact ion

• Atomic radius of copper is 1.4Å

• Means that the likelihood of removal of a single su rface copper species is much less than unity per interact ion

• The “chemical tooth” model proposed by Cook* seems more appropriate

February 9, 2011

Mechanical Component of Model Clearly Inappropriate

Asperity-wafer interactions happen about every 0.1 ms.

But what passivation time on the curve best represents the starting and ending condition?

* L. M. Cook, Journal of Non-

crystalline Solids, 120, 152 (1990)

IMPACT • CMP • 12

Establishment of quasi-steady state with less than a monolayer of BTA on copper surface

February 9, 2011

0 0.5 10

0.2

0.4

0.6

0.8

1

Cov

erag

e ra

tio, θ

t / tconst

Coverage ratio, θReduced θ by abrasion at any given state(e.g. removal of 20% of existing complexes)

τ

t*1t*2

Quasi-steady state

Right after1st reformation of Cu(I)BTARight after

nth reformation of Cu(I)BTA

Right after2

nd abrasion

Right after1

st abrasion

τRight after

nth

abrasion

t*n

Abrasion starts

Reformation of a protective film during interval τRemoval of a protective film by abrasion

= fraction of available sites that are occupied

IMPACT • CMP • 13February 9, 2011

0 0.2 0.4 0.6 0.8 10

0.2

0.4

0.6

0.8

1

t/tm

Cov

erag

e ra

tio,

θθ θθ

10-4

10-3

10-2

10-1

100

0

20

40

60

80

100

i pass

/ito

tal [%

]t/t

m

10-4

10-3

10-2

10-1

1000

20

40

60

80

100

i diss

/ito

tal [%

]

ipass/itotal

idiss

/itotal

Contribution of the current density for forming Cu(I)BTA and the current density for direct dissolution to the total current density

Millisecond scale adsorption kinetics of BTA in pH 4 aqueous solution containing 0.01 M glycine and 0.01 M BTA (t

mis 2 s at 0.6V and 4 s at 0.4 V)

University of California • Berkeley • San Diego • Los Angeles

Pattern -Defined Pads to Test Tribochemical Model

IMPACT • CMP • 15February 9, 2011

To Remove Uncertainty, Replace Stochastic Distribut ion of Interaction Force and Frequency with Well-Defined V alues

Oxi

datio

n ra

te m

A/c

m2 Bare copper

Time (t’) msCopper: transient

passivation behavior i(t’)

Pas

sive

Film

Thi

ckne

ss (

L) (

nm)

1. Passivation kinetics– Film growth kinetics

Interval between two abrasive-

copper contacts (τ)

Time (ms)

For

ce (

nN)

Force on an abrasive, nN

Film

thic

knes

s re

mov

ed, ∆

L Å

t0

τ

∫ +=τ

τρ 0

0 )( dtttinF

MRR Cu

Removal Rate (nm/s)

τ

MCu : Atomic mass of copperρ : density of coppern : # e- transferredF : Faraday’s constant*Tripathi, Doyle & Dornfeld, "Tribo-Chemical Modeling of Copper

CMP" 2006 Proceedings of VLSI Multilevel Interconnection Conf.

3. Abrasive-copper interaction force & frequency

2. Mechanical removal response of passive film

LtLtL ∆=−+ )()( 00 τt0

t0 can be found given L(t’) (fig 1.), ∆L (fig 2.) & τ (fig 3.)

(since L(t’) is concave)

IMPACT • CMP • 16

Abrasive-Copper Interaction Force and Frequency

τ

Time (ms)

For

ce

Time (ms)

For

ce

Conventional CMP Pads Fixed Abrasive Pads

Interval between two asperity-copper contacts (τ) ≈ 0.1ms

Duration of contact ≈ 10µs

Interval between two asperity-copper contacts (τ) = 2ms

Duration of contact = 200µs

Time (ms)

For

ce

Pattern Defined Pads

Interval between two asperity-copper contacts (τ) = 0.1ms

Duration of contact = 10µs

Relatively long duration of contact creates a

transient electrochemical state during the contact → Our model fails in this

case

τ and applied force are randomized →

Requires costly computation

Time scalePeriodicity

February 9, 2011

IMPACT • CMP • 17

Fabrication of Pattern Defined Pads

PDMS

Si

SU-8 (epoxy)

•Master fabricated by photolithography using SU-8 negative photoresist

Si

•PDMS (Sylgard® 184) applied to the master and cured

PDMS

PU •Polyurethane applied to the PDMS mold and cured

PU

Glass slide

Glass slide

Glass slide•PU replicated

• Replica Molding

10µm X 10µm X 10µm 100µm

February 9, 2011

IMPACT • CMP • 18

Various PolyurethanesMaterial Properties Features

LOCTITE®M-11FL™

Elongation: 170% Large deformation -> strong dependence of the real contact area on the applied pressure

Mechanically weak -> asperities of the fabricated pad were torn off during polishing

Tensile strength: 490psi

Hardness: 35~60 (Shore D)

3M ™Scotch-Weld ™3532 B/A

Elongation: 96% Very viscous before curing and short working time -> trapped air bubbles were hard to be removed; made the pad surface non-uniform

Tensile strength: 3000psi

CONATHANE® EN-2550

(selected)

Elongation: 32% Mechanically strong and deformed little;

Long working time made it possible to remove trapped air bubbles -> uniform pad surface

Tensile strength: 1805psi

Hardness: 65 (Shore D)

February 9, 2011

University of California • Berkeley • San Diego • Los Angeles

Potential-Step Electrochemical Tests with Conventional and Pattern -Defined

Pads

IMPACT • CMP • 20

Experimental Setup – Potential Step Electrochemical Tests

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 10 wt% α-alumina particles (diameter=150nm) – no H2O2

Vertical Machining Center

C.E.

Luggin Probe &

R.E.

IC1000 pad

W.E.

Slurry

Load Cell

Copper Insulation

coating

To have controlled sliding velocity of copper relative to pad, used copper tube embedded in epoxy as working electrode –potential stepped up to 0.6 V SCE

February 9, 2011

IMPACT • CMP • 21

Experimental Setup – Potential Step Electrochemical Tests

• pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2

and 10 wt% α-alumina particles (diameter=150nm)

Reference Electrode

Pt Counter Electrode

Copper Working Electrode

Copper Insulation

Precision Spindle (of a Vertical Machining Center)

Load Cell

CMP Pad

February 9, 2011

IMPACT • CMP • 22

Pattern-defined Pad and IC1000 Pad – Applied pressur e

• With pattern-defined PU pads, current density was much less sensitive to the applied pressure than with IC1000

• Slight increase for pattern-defined PU may be due to the slight increase in the contact area (hence a slight decrease in the interaction interval, τ) or the removal efficiency

• The increase in oxidation rate with increased pressure for IC1000 is attributed to the increased real contact area ratio

C. L. Elmufdi and G. P. Muldowney,

Mater. Res. Soc. Symp. Proc., 914, F12-

06 (2006).

y = 0.0106x + 0.6294

y = 0.0242x + 0.722

0

0.2

0.4

0.6

0.8

1

0 2 4 6 8 10

Applied pressure (psi)

Curr

ent

density (

mA

/cm

2)

This effect of pressure is consistent with the predictions of the tribochemical model

February 9, 2011

IC1000; 1m/s

Fabricated PU; 0.5m/s

IMPACT • CMP • 23

Pattern-defined Pad and IC1000 Pad – Relative veloci ty

• With both pads, the oxidation rate increased somewhat with increasing velocity

• An increase in oxidation rate with increasing velocity would be expected –more asperity-copper interactions per unit time

• Because of the highly non-linear kinetics for adsorption of BTA one wouldn’t expect that doubling the velocity would double the oxidation rate

The effect of velocity is also consistent with the predictions of the tribochemical model

y = 0.113x + 0.6261

y = 0.1379x + 0.5687

0

0.2

0.4

0.6

0.8

1

0 0.5 1 1.5Relative velocity (m/s)

Cur

rent

den

sity

(m

A/c

m2 )

0 0.2 0.4 0.6 0.8 10

0.2

0.4

0.6

0.8

1

t/tm

Cov

erag

e ra

tio,

θθ θθ

Current densities are about an order of magnitude lower than those observed with the microelectrode – due to

different electric field in vicinity of electrode, and failure to accurately account for roughness of the microelectrode

February 9, 2011

Fabricated PU; 5psi

IC1000; 2psi

IMPACT • CMP • 24

Preston’s equation?

y = 0.113x + 0.6261

y = 0.1379x + 0.5687

0

0.2

0.4

0.6

0.8

1

0 0.5 1 1.5Relative velocity (m/s)

Cur

rent

den

sity

(m

A/c

m2 )

y = 0.0106x + 0.6294

y = 0.0242x + 0.722

0

0.2

0.4

0.6

0.8

1

0 2 4 6 8 10

Applied pressure (psi)

Curr

ent

density (

mA

/cm

2)

IC1000; 1m/s

Fabricated PU; 0.5m/s Fabricated PU; 5psi

IC1000; 2psi

• Although oxidation rate is consistent with the tribochemical model, it clearly is not consistent with Preston’s equation, which predicts material removal rates proportional to applied pressure and relative velocity

• Is there a fundamental difference between oxidation rates at the copper surface, calculated from the current density via Faraday’s law, and material removal rates encountered during polishing?

• Principal difference is that the above experiments used external potential control, while in CMP oxidation is induced by chemical oxidants such as hydrogen peroxide

February 9, 2011

University of California • Berkeley • San Diego • Los Angeles

Electrochemical Tests with Conventional Pads With Hydrogen

Peroxide

IMPACT • CMP • 26

Experimental Setup –Electrochemical Tests With H 2O2

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 4 wt% α-alumina particles (diameter=150nm) – 0.3% or 1% H2O2

February 9, 2011

C.E.

Luggin Probe &

R.E.

IC1000 pad

W.E.

Slurry

Load Cell

Copper Insulation

coating

IMPACT • CMP • 27

Electrochemical Tests With IC1000 Pad & H 2O2

February 9, 2011

-8.00E-01

-4.00E-01

0.00E+00

4.00E-01

8.00E-01

1.20E+00

1.00E-08 1.00E-06 1.00E-04 1.00E-02

Current density (A/cm2)

Pote

ntial (V

vs.

SC

E)

no rotation & 0.3wt% H2O2

1m/s & 0.3wt% H2O2

no rotation & 1wt% H2O2

1m/s & 1wt% H2O2

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 4 wt% α-alumina particles (diameter=150nm) – 0.3% or 1% H2O2

0.3 wt% H2O2 1 wt% H2O2icorr (µµµµA/cm 2) Ecorr (mV) icorr (µµµµA/cm 2) Ecorr (mV)

Stationary 2.65 114.8 1.49 144.7

Rotation at 1m/s 14.4 -219.9 14.0 -155.0

Corrosion current (where anodic current equals cathodic current) about two orders of magnitude lower than currents in potential step experiments (0.6 – 1 mA/cm2)

IMPACT • CMP • 28

Electrochemical Tests With IC1000 Pad & H 2O2 - Effect of Applied Pressure

February 9, 2011

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 2 wt% α-alumina particles (diameter=50nm) – 0.5 % H2O2. Rotation at 1 m/s

Corrosion current and potential insensitive to applied pressure

-1.20E+00

-8.00E-01

-4.00E-01

0.00E+00

4.00E-01

8.00E-01

1.20E+00

1.00E-07 1.00E-06 1.00E-05 1.00E-04 1.00E-03

Current density (A/cm2)

Pote

ntia

l (V

vs. S

CE

)

6psi

4psi

3psi

2psi

Applied pressure (psi)

icorr (µµµµA/cm 2) Ecorr (mV)

6 9.28 -135

4 9.50 -145

3 8.38 -105

2 10.1 -115

IMPACT • CMP • 29

Electrochemical Tests With IC1000 Pad & H 2O2 - Effect of Sliding Velocity

February 9, 2011

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 2 wt% α-alumina particles (diameter=50nm) – 0.5 % H2O2. 4 psi applied pressure

Corrosion current and potential insensitive to sliding velocity

Sliding velocity (m/s)

icorr (µµµµA/cm 2) Ecorr (mV)

1 9.50 -145

0.5 9.32 -130

0.75 9.61 -140

1.25 10.0 -135

-1.20E+00

-8.00E-01

-4.00E-01

0.00E+00

4.00E-01

8.00E-01

1.20E+00

1.00E-

08

1.00E-

07

1.00E-

06

1.00E-

05

1.00E-

04

1.00E-

03

Current density (A/cm2)

Pote

ntial (V

vs. S

CE

)

0.5 m/s

0.75 m/s

1 m/s

1.25 m/s

University of California • Berkeley • San Diego • Los Angeles

CMP Tests with Conventional Pads With Hydrogen Peroxide

IMPACT • CMP • 31

Copper CMP Using Blanket Wafer, IC1000 Pad & H 2O2 -Effect of Applied Pressure

February 9, 2011

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 2 wt% α-alumina particles (diameter=50nm) – 0.5 % H2O2. Sliding velocity 1 m/s

• Material removal rate close to Preston-type behavior

• Corrosion rate only a tiny proportion of overall material removal rate

• But material removal rate consistent with corrosion rates seen in potential-step experiments

0

10

20

30

40

0 2 4 6 8

Applied pressure (psi)

MR

R (n

m/m

in)

CMP MRR

Corrosion rate

IMPACT • CMP • 32

Copper CMP Using Blanket Wafer, IC1000 Pad & H 2O2 -Effect of Sliding Velocity

February 9, 2011

pH 4 slurry containing 0.01M BTA, 0.01M glycine, 10-4 M Cu(NO3)2 and 2 wt% α-alumina particles (diameter=50nm) – 0.5 % H2O2. 4 psi applied pressure

• Material removal rate close to Preston-type behavior

• Corrosion rate only a tiny proportion of overall material removal rate

• But material removal rate consistent with corrosion rates seen in potential-step experiments

0

10

20

30

40

0 0.5 1 1.5

Sliding velocity (m/s)

MR

R (n

m/m

in)

CMP MRR

Corrosion rate

IMPACT • CMP • 33

What is responsible for unexpected behavior???

February 9, 2011

• “Passivation” of copper in the presence of hydrogen peroxide has been reported before – lower current densities than seen on copper at the same potential in the absence of hydrogen peroxide

• This doesn’t appear to be true passivation – significantly protective films would be disrupted by polishing

• Material removal rates high, which suggests that most material is removed as metallic copper, not oxidized copper

• If this were the case, why is an oxidant needed?

• Alternatively, it is possible that hydrogen peroxide acts primarily via a Fenton-type mechanism that yields hydroxyl radicals, rather than electrochemically

• Hydroxyl radicals are powerful oxidants, and may dominate the oxidation of copper

• Only electrochemical oxidation would be detected as corrosion• Greater sensitivity to pressure and velocity with hydrogen peroxide may

reflect mass transport of hydrogen peroxide and short-lived radicals

University of California • Berkeley • San Diego • Los Angeles

Conclusions

IMPACT • CMP • 35February 9, 2011

Conclusions

� Pattern-defined polyurethane pads were fabricated a nd used to test the tribochemical model previously proposed for copper CMP

� In potential-step electrochemical tests the effect of applied pressure and sliding velocity was consistent with the tribochemi cal model, either for the pattern-defined pads or for IC1000.

� These tests were inconsistent with Preston’s equati on

� Polarization tests done in the presence of hydrogen peroxide showed corrosion current densities about two orders of mag nitude lower than the current densities seen in potential-step tests

� Material removal rates obtained from CMP tests in the presence of hydrogen peroxide were reasonably consistent with Preston’s equation, but much, much faster than expected from the current densities

� This could reflect the fact that most copper is rem oved as metallic copper (and subsequently oxidizes in solution)

� Alternatively, hydrogen peroxide may form hydroxyl radicals via a copper Fenton-type mechanism, and these radicals may oxidi ze copper non-electrochemically