Digital Door Lock Project Report

47
DIGITAL DOOR L0CK SYSTEM ΒΛΟΧΚ ∆ΙΑΓΡΑΜ ΟΦ ∆ΙΓΙΤΑΛ ∆ΟΟ SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPUR DEPARTMENT OF ELECTRONICS AND COMMUNICATION ENGINEERING 1 KEYBOARD ENCODER SHFIT REGISTERS 7 SEGMENT DECODERS 3 DIGIT DISPLAY U S TRI STAE BUFFERS DECODER DECODER DECODER LOGIC CIRCUITS FLIOP-FLOP M FLIP-FLOP www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Transcript of Digital Door Lock Project Report

Page 1: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM Β Λ Ο Χ Κ ∆ Ι Α Γ Ρ Α Μ Ο Φ ∆ Ι Γ Ι Τ Α Λ ∆ Ο Ο

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

1

KEYBOARD

ENCODER

SHFIT REGISTERS

7 SEGMENT DECODERS

3 DIGIT DISPLAY

US

TRI STAE BUFFERS

DECODER DECODER DECODER

LOGIC CIRCUITS

FLIOP-FLOP M

FLIP-FLOP

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 2: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

CHAPTER 1 ENCODER An encoder converts an active input signal into a coded output signal. Figure 1.1 illustrates the general idea. There are input lines, only one of which is activeInternal logic within the encoder converts this active input to a coded binary output with m bits

FIG 1.1Block diagram of the encoder

Figure 1.2 shows the common type of encoder –the decimal to BCD encoder the switches are push-button switches like those of a pocket calculator.

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

2www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 3: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMWhen button 3 is pressed, The C and D OR gates have high inputs; therefore, the output is ABCD =0011

D C B A FIG 1.2

The figure 1.2 shows the logic diagram of the decimal to BCD converter using the TLL OR gates, A, B, C, and D are the outputs of the circuit in the From of BCD (Binary Coded Decimal)

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

3www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 4: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM If button 5 is pressed the output becomes

ABCD = 0101When switch 9 is pressed

ABCD = 1001

TRUTH TABLE FOR BCD TO DECIMAL CONVERTOR

BUTTONS BCD OUTPUTS D C B A 0 0 0 0 0

1 0 0 0 12 0 0 1 03 0 0 1 14 0 1 0 05 0 1 0 16 0 1 1 07 0 1 1 18 1 0 0 09 1 0 0 1

NUMERIC KEYBOARD

Numeric keyboard is used in Digital Door Lock system project. The keyboard contains 0 to 9 decimal numbers buttons and clear, enter buttons as shown Keyboard figure 1.3

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

4www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 5: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

FIG 1.3

The operations of the key board same as the decimal to BCD converter. As shown logic diagram 1.2. If any decimal number is pressed output is digital form.For example before pressing any number all OR gate inputs gating zero voltagethrough the resistors, all the resistors are connected to ground i.e. no inputs to the 74LS34 IC’s as shown in schematic circuit diagram 1.5 number ‘2’ is pressed

The figure 1.3 shows the pinout diagram of the 74LS32 IC

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

5www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 6: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

PIN 14 IS +VCCPIN 7 IS GROUNDPIN ‘S 1,2 INPUT LOGIC (OR GATE INPUTS) PIN ‘S 13,12 INPUT LOGIC (OR GATE INPUTS)PIN 3 S OUTPUT (OR GATE OUTPUT) PIN 11 IS OUTPUT (OR GATE OUTPUT)PIN’S 4,5 INPUT LOGIC (OR GATE INPUTS) PIN’S 10,9 INPUT LOGIC (OR GATE INPUTS)PIN 6 IS OUTPUT (OR GATE OUTPUT) PIN 8 IS OUTPUT (OR GATE OUTPUT)

CHAPTER 2

NIBBLE MULTIPLEXERS

Sometimes we want to select one of two input nibbles. In this case, We can use multiplexer like the one shown in Fig 2.1 the input nibble on the left is A3 A2 A1 A0 and the one right is B3 B2 B1 B0 .The control signal determine which

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

6www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 7: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMInput nibble is transmitted to the output. When the SELECT is low, four NAND gates On the left activated; therefore

Y3 Y2 Y1 Y0 = A3 A2 A1 A0

When SELECT is high, the four NAND gates on the right are active, and

Y3 Y2 Y1 Y0 = B3 B2 B1 B0

Figure 2.2 show the pinout diagram of a 74157, a nibble multiplexer with SELECT input as previously described. When SELECT is low,The left nibble is steered to the input. When SELECT is high right nibble is steered to output. The 74157 also include strobe input. As before, the strobe must be low for the multiplexer to work properly. When strobe is high, the multiplexer is inoperative.

The output of the keyboard i.e. A B C D, is connected toThe inputs of the nibble multiplexer. The having the 8 inputs lines as discardedAbove i.e. A3 A2 A1 A0 and B3 B2 B1 B0. The A3 A2 A1 A0 input lines are not used ,And they are connected to the ground, and the other input is connected to the keyboard BCD outputs i.e. B3 is connected to the D, B2 is connected to the C,B1 is connected to the B; B0 is connected to the A.

The key board outputs (A, B, C, D) can be pass though theIC74157 when the SELECT input is high form the counter, when the counter signal

is low going to the SELECT input the keyboard data is disabled i.e. keyboard is

Blocked

The keyboard as been locked when the input is low to the SELECT input. When the counter resets form the lock key and the SELECT input gating high Signal and the keyboard operated proper operations

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

7www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 8: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM A3 A2 A1 A0 B3 B2 B1 B0

Y1 Y2 Y3 Y4

The figure shows the pinout diagram of the 74157 IC

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

8

AAAAAAA AA3

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 9: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM Pin no 1 is SELECT input Pin no 15 is STROBE Pin’s no’s 4,7,9,12 are the output lines Pin’s no’s 2,5,11,14 are 4 input lines Pin’s no’s 3,6,10,13 are the 4 other input lines

Figure 2.2

The output of 74157 i.e. Y3 Y2 Y1 Y0 are connected to the 7495 IC As explained in the next chapter

CHAPTER 3

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

9www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 10: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

SHIFT RESGITERS

A shift register is a very important digital building block. Register is often used to momentarily store binary information appearing at the output of an encoding matrix. A register might be used to aspect input data from alphanumeric keyboard and then present this data at the input of a microprocessor Chip. Similarly, shift registers are often used to momentarily store binary data at the output of a decoder. For instance, a register could be used to accept output data from a microprocessor chip and then present this data from a very important link between the main digital system and input output channels.

TYPES OF SHIFT REGISTER A register is simply a group of flip-flops that can be used to storeA binary number. There must be one flip-flop for each bit in the binary number .For Instance register used to must be one flip-flop for each bit in the binary number must have eight flip-flops.There is two ways to shift data into a register (serial or parallel ) and similarly two ways to shift the data out of the register. This leads to the construction of four basic register types

1. Serial in-- serial out SISO 54 \ 74L91, 8 bits2. Serial in –parallel out SIPO 54 \ 74164, 8 bits3. Parallel in –serial out PISO 54 \ 74165, 8 bits4. Parallel in -- parallel out PIPO 54 \ 74198, 8bits

In DIGITAL DOOR LOCK SYSTEM project we are using Parallel in -- parallel out, PIPO shift registers discussed in next section

PARALLEL IN --- PARALLEL OUT SHIFT REGISTER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

10www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 11: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM The fourth type of shift register discussed in the introductory section of this chapter is designed such that data can be shifted into or out of the shift register Parallel data output

Q0 Q2 Q3 Q4

Clock Parallel input lines

FIG 3.1 BLOCK DIAGRAM PARALLEL IN PARALLEL OUT SHIFT REGISTER

The figure 3.1 shows the block diagram parallel in---parallel out shift register, in pipo shift register accept the data in parallel and produced the information in the form of parallel outputs, the block diagram shows the 4 bit pipo shift register, assume the parallel data is 0100 to be registered the flip-flop are cleared i.e. all flip-flop contains 0’s. The parallel data applying to parallel input lines

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

11www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 12: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM Applying a clock pulse to the clock input parallel data is available in the parallel output data lines i.e. Q0 = 0, Q1 = 1,Q2 = 0,Q3 = 0,

There are a number of 4-bit, parallel input –parallel output shift register available since they can be conveniently packaged in a 16 bit DIP.Let’s analyze a typical 4 bit register, say 54/7495A

The data sheet for 7495A describes it as a4-bit ,parallel access shift register .It also has serial data input and can be used to shift the data right and opposite direction ----to he left, The DIP pinout diagram as shown in fig 3.2

Fig 3.2

The parallel data outputs are simply the Q sides of each the four flip- flops in the register. In fact, note that the output Qd could be used to serial output when data is shifted from left to right though the register (right shift) When MODE CONTROL input line is high, the AND gate on the right

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

12www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 13: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMInput to each NOR gate is enabled while the left AND gate is disabled. The data at inputs A, B, C, and D will then be loaded into the register on the negative transition of the clock input –this is the parallel data input.

When MODE CONTROL input line is low, the AND gate on the right Input to each NOR gate is disabled while the left AND gate is enabled. The data input to flip-flop QA is now at SERIAL INPUT: the data inputs QB is QA and so on down the line .On each negative transition, the data bit is entered serially into the register at the first flip-flop QA, and each stored data bit is shifted one flip-flop to the right (towards the last fl-flop Qd ). This the serial input of data (at SERIAL INPUT ), and also the right shift operation .

In order to shift left operation ,the input data must be connected to the D DATA INPUT .It also necessary to connect QD to C,Qc to B, and QB to A. Now When the MODE CONTROL line is held high. a data bit will be entered into flip-flop QD, and each stored data will be shifted one flip-flop to the left on each negative clock transition. There are two clock inputs CLOCK 1 and CLOCK 2. This is to accommodate requirements where the clock used to shift the data right t is separate from the clock used to shift data left If such requirements is not unnecessary, simply connect CLOCK 1 and CLOCK 2 together. The clock signal will then pass though the AND --- OR gates combination noninverted, and the flip-flop will respond to negative clock pulse. The 7495 IC internal logic diagram as shown in figure 3.3 .the output IC 74157 is connected to inputs of 7495 IC i.e. parallel input lines A, B,C, D, .The outputs of the 7495 i.e. parallel data lines QA, QB, QC, QD. Are connected to IC 74LS47 as explained in the next chapter

CHAPTER 4

SEVEN SEGMENT DECODER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

13www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 14: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM A LED emits radiation when forward –biased . Why? Because free electrons recombine with holes near the junction. As free electrons fall from a higher energy level to a lower energy level one, they give up energy in the from of heat and light. By using elements like gallium ,arsenic , and phosphorous, a manufacturer can produced LEDs that emit red, green, yellow, blue, orange, and infrared (invisible) light. LEDs that produce visible radiation are use full in test instruments, pocket calculators, etc.

SEVEN SEGMENT INDICATORS Figure 4.1shows the a seven segment indicator; i.e,. seven LEDs labeled a though. By forward biasing different LEDs , wwe can display the digits 0 though 9. For instance, to display a 0 , we need to light up segments a, b, c, d, e, and f. To light up a 5, we need segments a, b, c, f, and g.

Fig 4.1

Seven segment indicator may be common – anode type where all anodes are common connected as shown in the figure 4.2 or the common cathode type of shown in fig 4.3.With a common anode type fig 4.2, you have to connect a current limiting resistor between each LED and ground ,The size of resistor determinesHow mach current flows though the LED. The common cathode type of fig. 4.3 Uses the current limiting resistors between the each LED and +Vcc.s

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

14www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 15: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMFigure 4.2 shows the common anode type seven segment

Figure 4.3 shows the common cathode type seven segment

A SEVEN SEGMENT DECODER DRIVER 74LS47 IC

A seven segment decoder driver is an IC decoder that can be used to drive a seven segment indicator. There are two types of decoder drivers,

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

15www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 16: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMcorresponding to the common anode and common cathode indicators. Each decoder driver has 4 inputs pins ( the BCD input ) and 7 output pins ( a though g segment ).

Figure 4.4 shows the 74LS47 IC driving a common anode indicator. Logic circuit inside the 74LS47 IC convert the BCD input to required output . for instance, If the BCD input is 0111, the internal logic (not shown ) of 7447 will force LEDs a, b, and c to conduct because the corresponding transistor go int saturation. As result, digit 7 will appear on the seven segment indicator.

Notice the current limiting resistor between the seven segment indicator and 7447 of fig 4.5. You have to connect these external resistor to limit the current in the each segment to safe value between 1 and 150mA , depending on how bright you want display to be.

The fallowing figure show the pin diagram of 74LS47 IC

CHAPTER 5 BUFFER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

16www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 17: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM The buffer is a logic circuit that amlifies the current or power. It as one input line and one output line ( a simple buffer is shown in fig 5.1) .The logic level of the output is the same as that of the input ; Logic 1input provides logic 1 output ( opposite of an inverter ) . the buffer is used too primarily to increase the driving capability of logic circuit . It is also known as a driver

The logic level of output is the same as the input ; The fig a shows the tri-state buffer ,When enable line is low, the circuit function as buffer; otherwise it stay in high impedance state .The buffer is use to increase the driving capability of the data .

The octal buffer 74LS244 shown in figure b is the typical example of a tri state buffer. It also known as line driver or line receiver .in IC the buffer is controlled two actives enable input (1G and 2G ) .

The74LS244 two ICs are using to block the follow of data shift register to BCD decoder before pressing the enter button . enable inputs two IC are Connected to common terminal , this terminal is connected to the enter button ,When enter button is pressed the both IC are output is enabled blocked data is transferred to BCD decoders

Fig 5.1 Tri state buffer

FIGURE 5. 2 LOGIC DIAGRAM OF THE 74LS244 OCTAL BUFFER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

17

E N B

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 18: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

The following figure pin configurations of the IC 74LS244

Pin no 20 is Vcc pin (+ 5 volt ).Pin no 10 is ground pin ( 0 volt ).

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

18www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 19: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMPin no 1 first gate pin (active low input ).Pin no 19 second gate pin (active low input ).Pin’s 2, 4, 6, 8, 11, 13, 15, 17 are the input pins. Pin’s 3, 5, 7, 9, 12, 14, 16, 18 are the output pins

Fig 5. 3 Fig 5.4 74LS244 IC CHIP DIAGRAM 74LS244 BLOCK DIAGRAM

CHAPTER 6

BCD TO DECIMAL DECODER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

19

U ?

7 4 L S 2 4 4

1 A 12

1 A 24

1 A 36

1 A 48

2 A 11 1

2 A 21 3

2 A 31 5

2 A 41 7

1 G1

2 G1 9

1 Y 11 8

1 Y 21 6

1 Y 31 4

1 Y 41 2

2 Y 19

2 Y 27

2 Y 35

2 Y 43

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 20: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM BCD is an abbreviation for binary coded decimal. The BCD expresses each

digit In a decimal number its by nibble equivalent number. Fore instance, decimaln number 429 is changed to its BCD form as follows

4 2 9

0100 0010 1001

To anyone using the BCD codes. 0100 0010 1001 is equivalent to 429

DEMULTIPLEXERS

Demultiplex means one into many . A demultiplexer is a logic circuit with one input and many outputs. By applying control signals , we can steer the input signal to one of the out puts lines . Figure 6.1 shows the general general idea. The circuit has 1input signal, m control signals, and n output signals

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

20www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 21: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMFigure 6.1

1 to 16 Demultiplexer

Figure 6.2 shows a 1 to 16 demultiplexer . the input bit is labeled D. This data bit is transmitted to the data bit of the output lines. But which one? Again, this depends on the value of the ABCD signals , the control input. when

ABCD = 0000

The upper and gates are enabled while all other AND gates are disabled. Therefore, data bit D is transmitted only to the Y0 output , giving. If low , Y0 is low If D is high.Y0 is high . As you can see, the value of y0 dependes on the value of the D. All other outputs are in the low state. If the control nibble is changed to

ABCD = 1111 All the gates are disabled expect the bottom AND gate. Then , D is transmitted only to the Y15 output, and

Y15 = D

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

21

demultiplexer

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 22: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMABCD are the control inputs D is the data input Y0 to Y15 are outputs

Figure. 6.2 shows the 1 to 16 demultiplexer

! OF 16 DECODER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

22

Yo

Y1

Y2

Y15

A B C D

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 23: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM A decoder is similar to the demultiplexer, With one exception ---there is no data input. The inputs are control bits ABCD, which are shown in Fig 6. 3. This logic circuit is called a 1 of 16 decoder because only 1 of the 16 outputs lines are high. For instance, when ABCD is 0001, only the Y1 and gate has all inputs high; there fore, only the Y1 output is high. If ABCD changes to the 0100, only Y4 output is high Because of Y4 AND gate have all inputs is high: as result, only the Y4 output goes high.

IF you check the other ABCD possibilities ( 0000 to 1111) , you will find that the subscript of the high output is always equals to the decimal equivalent of ABCD. For this reason, the circuit some times called binary to decimal decoder. Because it has 4input lines and 16 output lines, the circuit also called 4 to 16 decoders.

Normally, you would be not build a decoder with separate inverter and AND gates as shown in circuit diagram ( figure 6.3) . instead , you would use a IC such as the 74154 . The 74154 is called as a decoder – demultiplexer. because it can be used either as a decoder or a demultiplexer . To use 74154 IC as decoder, all you have to do is ground the DATA and STROBE inputs. The selected output line is in the low sate ( see the truth table ). They remained the output line is low when it active or selected. For instance, if the binary input is.

ABCD = 0111

Then the Y7 output is low, while all other outputs are high.

If the binary input is ABCD = 0101

Then the Y5 output is low, while all other outputs are high.

f the binary input is ABCD = 0100

Then the Y4 output is low, while all other outputs are high.

The following figure shows the pin configuration of 74154 IC it is 4 of 16 decoder we want to BCD to decimal decoder, Y0 to Y9 is the BCD to decimal output lines Y10 to Y!5 are not used in this project and they are connected though resistance to ground

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

23www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 24: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMTRUTH TABLE OF 74154 IC

THE 74154 The 74154is 1 of 16 demultiplexer or 4 of decoder with pin diagram as shown in figure 6.3 pin 18 is for the input data D, and pin 20 to 23 are control input bits ABCD . pins 1 to 11 and 13 to 17 are for the output bits Y0 toY15. Pin 19 is for the strobe , again an active – low input . finally, pin 24 for Vcc and pin 12 for ground.

The above table shows the truth table of a 74154. First, notice the STROBE input . it has to be low to active the 74154. When the STROBE is low, the control input ABCD determines which of the output lines is low. When the data input is high , all output lines are high. Similarly , when the STROBE is high , all the lines are high

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

24

Y 0 0 Y 0 1 Y 0 2 Y 0 3 Y 0 4 Y 0 5 Y 0 6 Y 0 7 Y 0 8 Y 0 9 Y 1 0 Y 1 1 Y 1 2 Y 1 3 Y 1 4 Y 1 5A B C DS D

LLLLLLLLLLLLLLLLHHH

L L L LL L L HL L H LL L H HL H L LL H L H. L H H LL H H HH L L LH L L HH L H LH L H HH H L LH H L HH H H LH H H HX X X XX X X XX X X X

LHHHHHHHHHHHHHHHHHH

HLHHHHHHHHHHHHHHHHH

HHLHHHHHHHHHHHHHHHH

HHHLHHHHHHHHHHHHHHH

HHHHLHHHHHHHHHHHHHH

HHHHHLHHHHHHHHHHHHH

HHHHHHLHHHHHHHHHHHH

HHHHHHHLHHHHHHHHHHH

HHHHHHHHLHHHHHHHHHH

HHHHHHHHHLHHHHHHHHH

HHHHHHHHHHLHHHHHHHH

HHHHHHHHHHHLHHHHHHH

HHHHHHHHHHHHLHHHHHH

HHHHHHHHHHHHHLHHHHH

HHHHHHHHHHHHHHLHHHH

HHHHHHHHHHHHHHHLHHH

LLLLLLLLLLLLLLLLHHH

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 25: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMPin 19 G2 is the STROBE inputPin 18 G1 is the DATA input

Figure 6.4 demultiplexer \decoder

ABCD data inputs pins 23 to 20Power supply pins Pin 12 ground pinPin 24 Vcc supply pin (+5v)

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

25www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 26: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMSLIDING SWITCHES

The output of 74154 is in the form of decimal these outputs are connected to 8 pin sliding DIP switch. The 8 pin sliding switch is nothing but 8 switches in one box , box size same as the DIP 8 IC. The following figure shows the 8 sliding switch ,8 pin dual in package Using the three sliding switches in DIP 8.are connected to the 74154 ICs The out put of the sliding switches are shorted and connected to the inverter.

It is used in the project to select the desired code, Each SW DIP 8 is used to select one digit code

CHAPTER 7

FLIP - FLOP

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

26www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 27: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

The output of the digital circuits are dependent entirely on the inputs to these circuits ; that is, if the inpu6t changes, the output also changes. However, there are requirements for a digital device or a circuit whose output remains unchanged , once set , even if there is a change in input. Such a device could be used, for example, to store a binary number. A flip-flop is one such circuit , and characteristics of the most common types of flip-flops used in digital system, in this project only D type flip-flop is used , D type flip-flop is considered in this chapter,

D FLIP - FLOP The D flip – flop, a circuit needs only a single data input . figure 7.1 shows the a simple way to build a delay D flip-flop . this kind of flip-flop prevents the value of D from reaching the Q outputs until a clock pulse occurs. The action of the circuit is straightforward. As follows, when clock is low , both AND gates are disabled ; therefore, D can change value without affecting the value of Q. on the other hand, when the clock is high, both AND gates are enabled . In this case, Q is forced the value of D. When the clock again goes low, Q remains or store the last value of D. a D flip-flop is a bitable circuit whose D input is transferred to the output after a clock pulse is received.

Fig 7.1A D flip - flop

THE 74LS74 IC

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

27www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 28: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM The following IC figure shows the TTL 74LS74 IC which contains two edge-triggered D flip-flops with set and reset inputs . the truth table is shown below for its operations. 1D & 2D are the D inputs of the flip-flops ,1Q & 2Q are the outputs of the flip-flops 1CLK & 2CLK are the clock inputs

Figure1.2 7474 TTL IC

TRUTH TABLE

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

28www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 29: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

The following block diagram shows the 7474 part a D flip-flop

Set Input

Reset input

.

COUNTER

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

29

D Q n + 1 S e t R e s e t Q

0

1

1

1

X

1

0

0

Q n

1

0

0

1

1

1

0

0

1

1

1

0

1

0

1

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 30: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

A counter is probably one most useful and versatile sub systems in a digital system. A counter driven by a clock can be used to count the number of the pulses or clock cycles. Since the clock pulses or clock cycles since the clock pulses occur at known intervals, the counter can be used to measuringTime and therefore period or frequency. There are basically two types of counters synchronous and asynchronous.

DECADE COUNTER

A decade counter can be constructed by using the mode counter 5 and some additional flip-flops , labeled DD, as shown figure 7.3. thew appropriate wave forms and truth table included

Basic block diagram of the decade counter

TRUTH TABLE

D C B A COUNT

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

30www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 31: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM0 0 0 0 00 0 0 1 10 0 1 0 20 0 1 1 30 1 0 0 40 1 0 1 50 1 1 0 60 1 1 1 71 0 0 0 81 0 0 1 90 0 0 0 0

Fig 7.4

The 54/7490 is a TLL MSI decade counter .its logic diagram truth table and pinout are given in figure a careful examination will reveal that the flip flop QB.QC and QD form a mode 5 –counter exactly like on in figure. Notice that however ,that flip flop QD in the 7490A is an RS flip flop that has a direct connection from its Q output back to its R input the net result is in this case is that Qd behaves exactly like Jk flip flop .If the system clock applied at input A and QA is connected to a input B ,we have a true to binary decade counter exactly in figure .on the other hand if the system block is applied at input B and QD is connected to input A ,we have the bi quinary counter as discussed in example Take time to study the logic diagram and truth table for the 90A ,it is widely used in industry and time spent will be well worth your while . The decade counter is used for the blocking the keyboard circuit , the counter counts the number of enter pulses ,these pulses are generated by pressing the ENTER button in the keyboard when the counter count 9 pulses the output D is high when counter counts 8 and 9 pulses ,the high output is connected to the flip-flop through the inverter, to the set input of the flip-flop as explained in the next chapter

Internal logic diagram of the IC 74LS90

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

31

QA

QB

reset

Input A

Input B

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 32: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

The following IC shows the pin configuration of IC74LS90 as a decade counter

Pin’s 1,14 are the clock input

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

32

QC

QD

Set input

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 33: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMPin’s 4,13 are NC no connectionPin’s 2,3 are the reset pinsPin’s 6,7 are the set input pin’sPin’s 12,11,9,8 are the output pin’s BCDPin’s 5,10 are the power supply pin’s

DECADE COUNTER IC 7490

Β Λ Ο Χ Κ ∆ Ι Α Γ Ρ Α Μ Ο Φ ∆ Ι Γ Ι Τ Α Λ ∆ Ο ΟΡ Λ Ο Χ Κ Ο Π Ε Ν Σ Ψ Σ Τ Ε Μ

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

33

KEYBOARD

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 34: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

The block diagram shows DIGITAL DOOR LOCK OPEN SYSTEM. The keyboard block as shown in block diagram, the keyboard consists numeric keypad containing 0 to 9 buttons and other buttons are ENTER, CLEAR, SHFITING, and LOCK CLOSE, S. When decimal button 5 is pressed in the key

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

34

ENCODER

SHFIT REGISTERS

7 SEGMENT DECODERS

3 DIGIT DISPLAY

US

TRI STAE BUFFERS

DECODER DECODER DECODER

LOGIC CIRCUITS

FLIOP-FLOP M

FLIP-FLOP

www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 35: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMboard, the encoder converts the decimal digit to 4bit binary coded signal I.e. 0101 in the BCD form, as explained in the first chapter, the output keyboard converter is BCD. This signal is send to the nibble multiplexer

The nibble multiplexer transmits the signals when the SELECT input is high to the 74157 IC this high signal coming form the counter, when the SELECT input is low data transmission keyboard to the shift resister, is blocked. When the SELECT input is high 74157 IC as operate as normal data lines between the keyboard and shift register.

The shift register receive the BCD data form the keyboard when the decimal button +shifting button, like this 3 digit as bin shifted and stored in the 3 shift register it is the parallel data entry in the register, the stored data is present in the output lines of the shift register the out put of the shift register is connected to the input seven segment decoder, the decoder decodes the BCD signal to seven segment indicator. The store data of the 3-shift register are displayed in the seven-segment indicator. The stored data of the 3 shift register 12 bit i.e. each register output is 4bit. These data is also sending to the (12 bit data) tri state buffers ,

The 74244 IC is the 8bit tri state buffers (4bitA 4bitB) with two output enable pins (active low inputs) . from the 12 bit, 8bit of the data is connected to the inputs 74244 (4bit A 4bit B) and other 4bit is connected to another 74244 IC (4bit A) When the enter button is pressed the input data is available on the output lines of the 74244 IC’s, when the output enable pin is active low input form the enter button . The output 74244’s are connected to the input of the 74154 IC, the 74154 is BCD to decimal decoder, the decoder decode the BCD input signal (4 bit for each IC) i.e. the 3 decoder IC’s. The output decoder is the decimal form as bin entered in the shift register and displayed on 3 digit seven-segment indicators. The output decoder is connected to 3 code selectors switches .the output selector is connected to the logic circuit, the output logic is connected flip- flop To set Q of the flip-flop is connected to the motor through the relay

DIGITAL DOOR LOCK OPEN SYSTEM CIRCUIT OPERATION

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

35www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 36: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM The keyboard as a decimal to BCD encoder as explained in the first chapter using the OR gate i.e. 7432 IC’s before using the board first set codes In the code selector switches for example 5 5 5 code as been set . i.e. the door lock as opened when the entered numbers ( 5 5 5 ) matched with the set codes in the code selector. Type the numbers by pressing the button 5 +shifting button up to last number i.e. 555 . the keyboard converts these decimal digits to BCD form. t he 555 numbers stored in the shift register in the form of 0101 0101 0101 these BCD number can be decoded in the seven segment decoder IC 7447decimal numbers are displayed in the seven segment indicators. The 3 shift register contains the 0101—0101-- 0101 this data can be passed though the tri state buffers 74244 IC when the ENTER button is pressed in the keyboard. The output of the 74244 IC is 0101—0101—0101 these output data is connected to inputs of BCD to decimal decoder IC’s 74154 the output decoders is 5 5 5 i.e. active low of the decoders pin’s Y5‘s as explained in the decoder chapter. All the 3 active low signal is converting in the inverter 7404 IC, the output of the 7404 ICs 3 active high signal is connected to inputs of the AND gate, the now the output and gates is high The output of AND gate high is again converted into active low signal though the inverter 7404 IC the low input signal is connected set input of the flip-flop the output flip-flop is 1 QA is high it is connected to relay coil to ON motor to open the lock when the lock as opened the flip-flop gates a reset signal to of the motor When entering the wrong codes or pressing the entering button up to 8 times the counter counts the pressing enter button ,the counter sends a signal To the flip-flop ,the flip-flop set ‘s Q bar output is connected to the to 74157 IC SELECT input ,when this signal goes low the keyboard data transmission to another circuits, is blocked . for this condition the the counter and flip-flop as to reset by a key

CHAPTER 8

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

36www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 37: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

POWER SUPPLY

Almost all electronic circuits require a dc source of power. For portable low – power systems batteries may be used. More frequently , however, electronic equipment is energized by a power supply , apiece of equipment, which converts the alternating current from the power lines into an essentially direct voltage . this process of ac-dc conversion

FULL WAVE BRIDGE RECTIFIER

The need for centertaped is eliminated in the bridge rectifier. It consists four diodes D1,D2,D3,D4,connected to form bridge as shown in figure. the ac supply is applied to the primary of transformer secondary winding is connected to bridge input as shown in the figure during the positive half cycle of secondary winding , the end P becomes +ve and end Q becomes +ve. This is makes the diode D1 and D3 conduct current flows from A to B though the loadDuring the -ve of secondary winding , the end Q becomes +ve and end P becomes +ve. This is makes the diode D2 and D4 conduct current flows from B to A though the load . therefor DC output is obtained

CAPACITOR FILTER CIRCUIT

The capacitor input filter consists of a capacitor c is placed across the rectifier output circuit in parallel with the load . the pulsating direct voltage the is applied across the capacitor. As rectifier voltage increases, it charges the capacitor and also supplied current to the load . at end of quarter cycle the capacitor is charged to peak value Vm of the rectifier voltage. Now , the rectifier voltage starts to decrease. As this occurs, the capacitor discharges through the load and voltage across it decreases as shown in the CRO wave form. The voltage across the load will decrease only slightly because immediately the next voltage peak comes and recharges the capacitor this process is repeated again and again and output voltage pure DC

7805 FIXED 5 VOLTAGE REGULATOR

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

37www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 38: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM A dc power supply which maintains the output voltage constant irrespective of the ac mains fluctuation or load variation is known a “REGULATED D.C POWER SUPPLY “. A regulated power supply consist of an ordinary power supply and voltage regulating device as shown in figure ( ) the output of the ordinary power supply is fed it the voltage regulator which produced the finale voltage . out put . T he output voltage (V dc) remains constant whether the load current changes or there are fluctuation in the input a.c

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

38www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 39: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

39www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 40: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

PRINTED CIRCUITS --- PCB

To make smart looking PCB's, all you need is: A computer, a laser printer, copper clad board, enchant, a clothes iron and some Epson glossy photo paper. You can buy special film for making PCB's, but I have found that the Epson paper gives better results. I use Epson photo quality glossy paper for inkjet printers.

Draw the PCB track layout, using a CAD program or a standard drawing program. Remember to reverse the image before printing. Most drawing programs have a 'flip horizontal' function. Print the image on normal A4 paper to make sure that it is the correct size. Check the layout carefully.

PCB LAYOUT

Use a laser printer to print the image on the glossy side of the photo paper. Clean the copper clad board with steel wool or very fine wet sandpaper. Dry the board thoroughly. Make sure that the board is clean and free from fingerprints. Place the photo paper face down on the copper clad board. Use masking tape to hold the

paper in position. Don't use vinyl tape. Place the board on a flat surface. You will be using a very hot iron, so don't use the dining room table. I use the back of an old telephone directory. Use a hot clothes iron to transfer the track pattern from

the paper to the copper board. Don't be afraid to use lots of heat and pressure. Allow the board to cool. Don't be tempted to lift the paper. Put the board in a

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

40www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 41: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMcontainer full of warm soapy water. After about twenty minutes the paper will begin to dissolve and disintegrate. Carefully remove the paper from the copper board. Rinse under a cold tap to remove paper residue. You may need to touch up any broken tracks with an etch resist pen. I use a fine Staedtler laundry marker.

BEFORE ETCHING

Etch the board in a Ferric Chloride etching solution. You can buy the etchant in liquid form or as anhydrous Ferric Chloride powder. Follow the instructions. NEVER add water to dry Ferric Chloride. Don't get any on your clothes.

AFTER ETCHING

After etching, rinse the board under a cold tap. Remove the etch resist with some steel wool. Dry the board. Use a 0.8 or 1.0 mm drill to make the holes for component leads.

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

41www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 42: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

PCB

CLOSE UP

The close up picture shows that the tracks are not as clear and well defined as they would be if the board was produced by photographic methods. The procedure for making double sided boards is a bit tedious. Coat one side of the board with aerosol paint or clear lacquer. Etch the other side of the board as for a single sided board. Remove the paint or lacquer. Drill the component lead holes. Paint the etched side of the board. Then etch the unetched side of the board as for a single sided board. It is difficult to line up the two sides correctly. Use the component holes as a guide.

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

42www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 43: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

END RESULTI have used this method to make PCB's for both DIL and surface mount IC's with 0.05 Inch pin spacing. My laser printer is an old Apple LaserWriter II NTX (300dpi.) If you don't have access to a laser printer, use an inkjet printer to print the layout on ordinary paper, then copy the image to the Epson paper, using a photo copier. I haven't tried this method but it should work.

Update: Oct. 2001.I have also had good results with an OKI LED printer. I have found that Xerox paper works just as well as the Epson paper. I buy it in packs of 20 sheets. COLOR INKJET PHOTO PAPER HIGH GLOSS

APPLICATIONS

1 it is used to lock and open multi doors by using other interfacing circuits and code selector switches

it is also used to locks the multi doors at a time i.e. center lock

2 it also can be used in to control the multistage buildings lights and other appliances ,for example heater, AC, water pumps, lights, .A circuit is shown for two stage building ,assume that the first floor having the 10 lights and second floor having the 20 lights .if we want to ON the first floor 4 number light first enter floor number i.e. 1 and second number is the

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

43www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 44: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEMlight number Note If 10 lights are connected to second port of circuit enter the ‘0’int last digit as shown in the circuit and displayed digits

1 4 0 floor no light no for the second floor having 20 lights if you want ON the 2 floor light no 15 enter the first floor no and enter the light no as shown bellow

2 1 5

REFENCES

1 DIGITAL PRINCIPLES AND APPLICATIONS ALBERT PAUL MALVINO DONALD P. LEACH FOUTH EDITION

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

44www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 45: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

McGRAW-HILL INTERNATIONAL EDITON

ISBN : 0-07-462231-8

2 MICROPROCESSOR ARCHITECTURE, PROGRAMING . AND APPLICATIONS WITH THE 8085 RAMESH S. GAONKAR FOURTH EDITION

PENRAM INTERNATIONAL PUBLISING (INDIA) PVT. LTD

ISBN : 81-900828-76

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

45www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 46: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

46www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/

Page 47: Digital Door Lock Project Report

DIGITAL DOOR L0CK SYSTEM

SECAB A”S MALIK SANDAL POLYTECHNIC BIJAPURDEPARTMENT OF

ELECTRONICS AND COMMUNICATION ENGINEERING

47www.final-yearprojects.co.cc www.troubleshoot4free.com/fyp/