1 NoCAlert (MICRO-2012) University of Cyprus International Symposium on Microarchitecture, December...

4
University of Cyprus International Symposium on Microarchitecture, December 3 2012, Vancouver, Canada The Multicore Computer Architecture Laboratory (multiCAL) Ξ - Computer Architecture Research Group (Ξ - CARCH) EuroCloud FP7 Project NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides

Transcript of 1 NoCAlert (MICRO-2012) University of Cyprus International Symposium on Microarchitecture, December...

1NoCAlert (MICRO-2012)University of Cyprus

University of Cyprus

International Symposium on Microarchitecture, December 3 2012, Vancouver, Canada

The Multicore Computer Architecture Laboratory (multiCAL)Ξ - Computer Architecture Research Group (Ξ - CARCH)

EuroCloud FP7 Project

NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures

Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides

2NoCAlert (MICRO-2012)University of Cyprus

Wrong is NOT Always Illegal

• In Networks-on-Chip, checking for legality is the same as checking for correctness.

Let the values be wrong. As long as they are legal…

• But checking for legality is much simpler• Extremely fast and efficient fault detection• Instantaneous detection

3NoCAlert (MICRO-2012)University of Cyprus

Invariance Checking

• How to achieve this: Invariance Checking• Inputs and outputs of the main components are constantly

monitored

• Extremely lightweight solution• 3% Area Overhead• 0.7% Power Overhead• 1% Delay Overhead

• Scales efficiently to more complex designs

4NoCAlert (MICRO-2012)University of Cyprus

Where and When

• 14:30 – 15:00• Section IB – Fault Tolerance

We would be glad to see you there